ศูนย์สนับสนุนซอฟต์แวร์การออกแบบ Quartus® Prime
หัวข้อซอฟต์แวร์การออกแบบ Quartus® Prime เพื่อแนะนําคุณเกี่ยวกับคุณสมบัติซอฟต์แวร์ทั้งหมด
เริ่มต้นใช้งาน
ชุดซอฟต์แวร์การออกแบบ Quartus® Prime ครอบคลุมเครื่องมือการออกแบบซอฟต์แวร์ทั้งหมดที่จําเป็นเพื่อนํา FPGA ของคุณจากแนวคิดไปสู่การผลิต หัวข้อต่างๆ ในหน้าเว็บนี้จะแนะนําคุณเกี่ยวกับคุณสมบัติซอฟต์แวร์ Quartus® Prime ทั้งหมด เลือกพื้นที่ที่คุณสนใจและไปยังทรัพยากรเฉพาะที่คุณต้องการในขั้นตอนการออกแบบ Quartus® Prime
- คู่มือการเริ่มต้นใช้งานฉบับย่อสําหรับซอฟต์แวร์ Quartus® Prime
- คําแนะนําโดยย่อเกี่ยวกับวิธีการตั้งค่าโครงการ คอมไพล์ ทําการวิเคราะห์การกําหนดเวลา และเขียนโปรแกรมอุปกรณ์ FPGA
- อ่านฉันก่อน! (ORMF1000)
- หลักสูตรออนไลน์ฟรี 44 นาที หลักสูตรนี้เป็นจุดเริ่มต้นในการทําความเข้าใจและใช้ FPGA ผลิตภัณฑ์ เอกสารประกอบ และแหล่งข้อมูลได้อย่างรวดเร็ว
- ดาวน์โหลดซอฟต์แวร์ Quartus® Prime
- รับสิทธิ์การใช้งานซอฟต์แวร์ Quartus® Prime
คู่มือผู้ใช้ Quartus® Prime
การฝึกอบรมซอฟต์แวร์ Quartus® Prime
Altera จัดการฝึกอบรมหลากหลายประเภท ทั้งออนไลน์และแบบตัวต่อตัว เพื่อช่วยคุณตามทันขั้นตอนการออกแบบ Quartus® Prime นี่คือชั้นเรียนการฝึกอบรมที่แนะนําเพื่อให้คุณเริ่มต้น
การฝึกอบรมซอฟต์แวร์ Quartus® Prime
ระยะเวลา | ชื่อหลักสูตร | |
---|---|---|
เวิร์คช็อประดับเริ่มต้นสําหรับ FPGAs Altera® | เซสชั่นออนไลน์ |
4 ชั่วโมง 30 นาที |
มีหลักสูตรการฝึกอบรมอื่นๆ ให้มากมาย สําหรับแคตตาล็อกทั้งหมด โปรดไปที่ FPGA Training
1. การวางแผน I/O
การวางแผน I/O ทําได้ตั้งแต่ขั้นตอนแรกในการออกแบบ FPGA เพื่อให้มั่นใจได้ว่าอุปกรณ์เป้าหมายของคุณจะวางตําแหน่งสําเร็จ ในขณะที่ตรงตามข้อจํากัดด้านพินและกําหนดเวลาที่กําหนด
- ซอฟต์แวร์ Quartus® Prime Pro Edition มีสองเครื่องมือในการจัดการกระบวนการที่ซับซ้อนของการตอบสนองข้อจํากัดมากมายของการวาง I/O
คําอธิบาย | เครื่องมือ | I/O งานวางแผน | วิธีการเข้าถึง |
---|---|---|---|
ผู้วางแผนอินเตอร์เฟซ | เครื่องมือผู้วางแผนอินเตอร์เฟซจะจัดการความซับซ้อนของการรวมหลายโมดูลเข้ากับข้อกําหนดแบบฮาร์ดสําหรับการกําหนดพิน (เช่น คอร์ทรัพย์สินทางปัญญา (IP) PCI Express*, DDR และเฟสล็อกลูป (PLL) ผู้วางแผนอินเตอร์เฟซจะโต้ตอบแบบไดนามิกด้วย Quartus® Prime Fitter เพื่อตรวจสอบความถูกต้องตามกฎหมายของการวางตําแหน่งในขณะที่คุณวางแผน คุณสามารถประเมินแผนผังที่แตกต่างกันโดยใช้รายงานแบบโต้ตอบเพื่อวางแผนการใช้งานที่ดีที่สุดได้อย่างแม่นยํา | อินเทอร์เฟซการวางแผนและอุปกรณ์ต่อพ่วง | เครื่องมือ>ผู้วางแผนอินเตอร์เฟซ |
ผู้วางแผนพิน | เครื่องมือวางแผนพินเป็นเครื่องมือการมอบหมายพินระดับต่ํา ใช้การตั้งค่านี้เพื่อวางพิน I/O ด้วยตนเองและเพื่อระบุอัตราการฆ่าและความแรงของไดรฟ์ | แก้ไข ตรวจสอบ หรือส่งออกการกําหนดพิน | การมอบหมาย>ผู้วางแผนพิน |
เอกสารการวางแผน I/O
เอกสารเครื่องมือซอฟต์แวร์
- การจัดการบทพิน I/O ของอุปกรณ์ในส่วนของคู่มือผู้ใช้ Quartus® Prime Pro Edition
- บทการวางแผนอินเตอร์เฟซในส่วนของคู่มือผู้ใช้ Quartus® Prime Pro Edition
เอกสารอุปกรณ์
การฝึกอบรม I/O
ระยะเวลา | ประเภท | หลักสูตร |
---|---|---|
การออกแบบระบบ I/O ที่รวดเร็วและง่ายดายด้วยพิมพ์เขียว | ฟรี, ออนไลน์ | 39 นาที |
ข้อมูลอื่น ๆ
การวางแผน I/O เกี่ยวข้องกับการพิจารณามากมายโดยเฉพาะเมื่อมีการเกี่ยวข้อง I/O ความเร็วสูงหรือโปรโตคอลเฉพาะ
สําหรับข้อมูลเพิ่มเติมเกี่ยวกับการสนับสนุนการจัดการ I/O และการพัฒนาบอร์ด โปรดไปที่:
2.รายการการออกแบบ
รายการออกแบบ - ภาพรวม
คุณสามารถแสดงการออกแบบของคุณโดยใช้วิธีการป้อนรายการการออกแบบหลายวิธี:
- การใช้ภาษาที่ใช้อธิบายฮาร์ดแวร์ (HDL)
- Verilog
- SystemVerilog
- VHDL
- Platform Designer ซึ่งเป็นเครื่องมือป้อนรายการกราฟิกสําหรับเชื่อมต่อโมดูลที่ซับซ้อนในรูปแบบที่มีโครงสร้าง
- วิธีการเริ่มต้นระดับสูงอื่นๆ
- การสังเคราะห์ระดับสูง (HLS) โดยใช้ C++ เพื่อแสดงโมดูลที่ซับซ้อน
- OpenCL™ ใช้ C++ เพื่อปรับใช้อัลกอริธึมการคํานวณบนแพลตฟอร์มที่แตกต่างกัน
นอกจากการออกแบบโดยตรงแล้ว FPGAs ยังสนับสนุนทรัพย์สินทางปัญญา (IP) จํานวนมากที่ออกแบบมาเพื่อใช้ใน FPGAs โดยเฉพาะ
เรียนรู้ภาษาอธิบายฮาร์ดแวร์ (HDL)
Altera จัดหลักสูตรการฝึกอบรม HDL หลากหลายหลักสูตร ตั้งแต่ภาพรวมออนไลน์ฟรี ไปจนถึงชั้นเรียนที่สอนโดยผู้สอนตลอดวัน
ระยะเวลา | ประเภท | หลักสูตร |
---|---|---|
พื้นฐาน Verilog HDL | 50 นาที | ออนไลน์, ฟรี |
ข้อมูลเบื้องต้นเกี่ยวกับ VHDL | 92 นาที | ออนไลน์, ฟรี |
Verilog HDL Advanced | 8 ชั่วโมง | ผู้สอน |
SystemVerilog ด้วยซอฟต์แวร์ Quartus® II | 38 นาที | ออนไลน์, ฟรี |
การใช้เทมเพลต HDL
ซอฟต์แวร์ Quartus® Prime มีแม่แบบหลายแบบสําหรับองค์ประกอบลอจิกที่ใช้บ่อย เช่น รีจิสเตอร์ การกําหนดสัญญาณที่เลือก การกําหนดสัญญาณพร้อมกัน และการเรียกโปรแกรมย่อย แม่แบบมีอยู่ใน Verilog, SystemVerilog และ VHDL
ถ้าคุณไม่แน่ใจเกี่ยวกับวิธีที่ดีที่สุดในการเขียนฟังก์ชันเฉพาะเพื่อให้แน่ใจว่าจะใช้งานอย่างถูกต้องคุณควรอ้างอิงแม่แบบเหล่านี้ ระบบเทมเพลตได้อธิบายไว้อย่างสมบูรณ์ใน ส่วนการแทรกโค้ด HDL จากส่วนเทมเพลตที่ให้ไว้ใน คู่มือผู้ใช้คําแนะนําการออกแบบ
รูปแบบการเข้ารหัส HDL ที่แนะนํา
รูปแบบการเข้ารหัส HDL มีผลต่อคุณภาพของผลลัพธ์อย่างมากสําหรับการออกแบบลอจิก เครื่องมือการสังเคราะห์จะปรับการออกแบบให้เหมาะสม แต่เพื่อให้ได้ผลลัพธ์ที่แม่นยํา คุณต้องเขียนโค้ดในรูปแบบ ซึ่งจะได้รับการยอมรับจากเครื่องมือการสังเคราะห์เป็นการสร้างลอจิกเฉพาะ
นอกจากนี้ยังมีแนวทางการออกแบบที่ดีซึ่งควรปฏิบัติตามสําหรับการออกแบบลอจิกดิจิทัลทั่วไปและสําหรับอุปกรณ์ที่ใช้ LAB โดยเฉพาะ การจัดการวิธีการรีเซ็ตลอจิก ความล่าช้าของไปป์ไลน์ และการสร้างสัญญาณซิงโครนัสที่เหมาะสมคือตัวอย่างบางตัวอย่างของแนวทางการออกแบบดิจิทัลที่ดี แหล่งข้อมูลบางส่วนสําหรับการเรียนรู้แนวทางปฏิบัติด้านการเข้ารหัส HDL ที่ดีมีแสดงไว้ด้านล่าง
แหล่งข้อมูลสําหรับแนวทางรูปแบบการเข้ารหัส HDL ที่ดี
คําอธิบาย | ทรัพยากร |
---|---|
รูปแบบการเข้ารหัส HDL ที่แนะนํา | ส่วนในคู่มือผู้ใช้ Quartus® Prime Pro Edition |
แนวทางการออกแบบที่แนะนํา | ส่วนในคู่มือผู้ใช้ Quartus® Prime Pro Edition |
Cookbook การสังเคราะห์ขั้นสูง พร้อม ตัวอย่างการออกแบบ | PDF พร้อมตัวอย่างการออกแบบ |
ทรัพย์สินทางปัญญา
Altera FPGAs รองรับทรัพย์สินทางปัญญา (IP) จํานวนมากที่ออกแบบมาเพื่อใช้ใน FPGAs โดยเฉพาะ IP แต่ละตัวมีโมเดลการจําลองสําหรับการตรวจสอบการออกแบบก่อนใช้งานอุปกรณ์ ดูลิงก์ต่อไปนี้สําหรับข้อมูลเพิ่มเติมเกี่ยวกับคอร์ IP ที่มีอยู่และระบบนิเวศ IP ภายในซอฟต์แวร์ Quartus® Prime
คําอธิบาย | ทรัพยากร |
---|---|
กลุ่มผลิตภัณฑ์ IP Altera FPGA | ภาพรวมของกลุ่มผลิตภัณฑ์ IP FPGA Altera |
ข้อมูลเบื้องต้นเกี่ยวกับคอร์ IP FPGA | วิธีที่แคตตาล็อก IP และตัวแก้ไขพารามิเตอร์จัดการคอร์ IP ในซอฟต์แวร์ Quartus® Prime |
ตัวค้นหา ip FPGA | รายการคอร์ IP FPGA ที่ครอบคลุม |
ตัวออกแบบแพลตฟอร์ม
รับชมบทนําเกี่ยวกับเว็บแคสต์ Platform Designer
Platform Designer เป็นเครื่องมือการประกอบระบบกราฟิกที่ให้คุณผสานรวมระบบของส่วนประกอบที่ซับซ้อนได้อย่างรวดเร็ว
การใช้เฟรมเวิร์กการเชื่อมต่อระหว่างกันตามมาตรฐาน (Avalon® หรือ AMBA* AXI*) คุณสามารถรวมทรัพย์สินทางปัญญาจากบุคคลที่สาม จาก IP ขององค์กรของคุณ หรือจากโมดูลกล่องดําที่ยังไม่มีการกําหนด ทั้งหมด คอร์ IP FPGA เป็นไปตามข้อกําหนดของอินเทอร์เฟซ Platform Designer.
Platform Designer สร้าง HDL สําหรับการสร้างอินสแตนซ์ในส่วนที่เหลือของการออกแบบ FPGA ของคุณ
เอกสารตัวออกแบบแพลตฟอร์ม
คําอธิบาย | ทรัพยากร |
---|---|
การสร้างระบบด้วย Platform Designer | พื้นฐานการใช้ตัวออกแบบแพลตฟอร์ม |
การสร้างส่วนประกอบตัวออกแบบแพลตฟอร์ม | วิธีการผนวกรวมส่วนประกอบทรัพย์สินทางปัญญา (IP) สําหรับใช้ในตัวออกแบบแพลตฟอร์ม |
การเชื่อมต่อตัวออกแบบแพลตฟอร์ม | รายละเอียดเกี่ยวกับอินเทอร์เฟซการแมปหน่วยความจําและการสตรีมที่มีอยู่ในมาตรฐานการเชื่อมต่อระหว่าง Avalon® และ AMBA* AXI* |
เพิ่มประสิทธิภาพระบบนักออกแบบแพลตฟอร์ม | เพิ่มประสิทธิภาพไปป์ไลน์และจัดการกับอนุญาโตตุลาการบัสในระบบนักออกแบบแพลตฟอร์ม |
การอ้างอิง Tcl ของอินเทอร์เฟซส่วนประกอบ | การอ้างอิง Application programming interface (API) สําหรับการรวม IP เข้ากับระบบตัวออกแบบแพลตฟอร์ม |
ส่วนประกอบการออกแบบระบบ Platform Designer | คําอธิบายของส่วนประกอบการเชื่อมต่อที่มีอยู่ในตัวออกแบบแพลตฟอร์ม |
หลักสูตรการฝึกอบรม Platform Designer (เดิมชื่อ Qsys)
ประเภท | ระยะเวลาของ | หลักสูตร |
---|---|---|
การสร้างการออกแบบระบบด้วย Platform Designer: การเริ่มต้นใช้งาน | 28 นาที | ฟรี, ออนไลน์ |
บทนําสําหรับนักออกแบบแพลตฟอร์ม | 30 นาที | ฟรี, ออนไลน์ |
บทนําสําหรับเครื่องมือการประกอบระบบการออกแบบแพลตฟอร์ม | 8 ชั่วโมง | ผู้สอน |
ตัวออกแบบแพลตฟอร์มในซอฟต์แวร์ Quartus® Prime Pro Edition | 63 นาที | ฟรี, ออนไลน์ |
การออกแบบระบบขั้นสูงโดยใช้ Qsys: การจําลองส่วนประกอบและระบบ | 28 นาที | ฟรี, ออนไลน์ |
การออกแบบระบบขั้นสูงโดยใช้ Platform Designer: การเพิ่มประสิทธิภาพระบบ | 46 นาที | ฟรี, ออนไลน์ |
การออกแบบระบบขั้นสูงโดยใช้ Qsys: การตรวจสอบระบบด้วยคอนโซลระบบ | 26 นาที | ฟรี, ออนไลน์ |
การออกแบบระบบขั้นสูงโดยใช้ Qsys: การใช้ลําดับชั้น | 45 นาที | ฟรี, ออนไลน์ |
การพัฒนา IP แบบกําหนดเองโดยใช้อินเทอร์เฟซ Avalon® และ Arm* AMBA* AXI | 107 นาที | ฟรี, ออนไลน์ |
ตัวอย่างการออกแบบ Platform Designer
คําอธิบาย | ทรัพยากร |
---|---|
Platform Designer - ตัวอย่างการออกแบบ | ตัวอย่างการออกแบบที่ดาวน์โหลดได้ของเครื่องทดสอบหน่วยความจําที่นํามาใช้ในตัวออกแบบแพลตฟอร์ม |
ตัวอย่างการออกแบบหน่วยความจํา AXI* | อินเทอร์เฟซ AMBA* AXI*-3 Agent บนส่วนประกอบหน่วยความจํา Verilog แบบกําหนดเองอย่างง่าย |
ตัวอย่างการจําลอง BFM: อินเทอร์เฟซ HPS AXI* Bridge ไปยัง FPGA Core | อินเตอร์เฟซ Hard Processor System (HPS) ไปยัง FPGA AXI* Bridge (h2f) |
คู่มือผู้ใช้ Avalon® Verification IP Suite (PDF) | Bus Functional Models (BFM) เพื่อตรวจสอบคอร์ IP โดยใช้อินเทอร์เฟซ Avalon® |
ไฟล์การออกแบบ (.zip) | |
Mentor Graphics* AXI* Verification IP Suite (PDF) | BFMs เพื่อตรวจสอบคอร์ IP โดยใช้อินเทอร์เฟซ AMBA* AXI* |
เอกสารข้อมูล
คําอธิบาย | ทรัพยากร |
---|---|
การเปรียบเทียบแนวทางการรวม IP สําหรับการปรับใช้ FPGA | อธิบายถึงความท้าทายในการเชื่อมต่อกันในอุปกรณ์ FPGA ที่ซับซ้อน |
การใช้คุณประโยชน์ของเครือข่ายบนสถาปัตยกรรมของชิปสําหรับการออกแบบระบบ FPGA | อธิบายข้อดีของสถาปัตยกรรมเครือข่ายบนชิป (NoC) ใน การออกแบบระบบ FPGA |
3. การจําลอง
ภาพรวมการจําลอง
ซอฟต์แวร์ Quartus® Prime รองรับ RTL และการจําลองการออกแบบระดับเกทในโปรแกรมจําลอง EDA ที่รองรับ
การจําลองเกี่ยวข้องกับ:
- การตั้งค่าสภาพแวดล้อมการทํางานเครื่องจําลองของคุณ
- การคอมไพล์ไลบรารีโมเดลการจําลองแบบ
- รันการจําลองของคุณ
ซอฟต์แวร์ Quartus® Prime รองรับการใช้โฟลว์การจําลองแบบสคริปต์เพื่อทําให้การประมวลผลการจําลองโดยอัตโนมัติในสภาพแวดล้อมการจําลองที่คุณต้องการ
ในซอฟต์แวร์ Quartus® Prime Standard Edition มีตัวเลือกในการใช้ผังเครื่องมือ NativeLink ซึ่งเปิดโปรแกรมจําลองที่คุณเลือกโดยอัตโนมัติ
ขั้นตอนการจําลองแบบสคริปต์
คําอธิบาย | หัวข้อ | Pro Edition | Standard Edition |
---|---|---|---|
การจําลองการออกแบบ FPGA | เมื่อใช้ Platform Designer ในการกําหนดค่าคอร์ IP และระบบ สคริปต์การตั้งค่าสภาพแวดล้อมการจําลองจะถูกสร้างสําหรับโปรแกรมจําลอง EDA ที่รองรับ | การจําลองจากบริษัทอื่น | การจําลองจากบริษัทอื่น |
Aldec Active-HDL | บทนี้มีแนวทางเฉพาะสําหรับการจําลองการออกแบบ Quartus® Prime ด้วยซอฟต์แวร์ Aldec Active-HDL หรือ Riviera-PRO | การสนับสนุน Aldec Active-HDL และ Riviera-PRO | แนวทางของ Aldec Active-HDL และ Riviera-PRO |
Cadence Incisive Enterprise | บทนี้มีแนวทางเฉพาะสําหรับการจําลองการออกแบบ Quartus® Prime Pro Edition ด้วยซอฟต์แวร์ตัวจําลองแบบขนาน Cadence Xcelium* | การรองรับ Cadence Xcelium* Parallel Simulator | การรองรับ Cadence Simulator |
Siemens EDA QuestaSim* | บทนี้ให้แนวทางสําหรับการจําลองการออกแบบ Quartus® Prime กับโปรแกรมจําลอง Siemens EDA QuestaSim* ที่รองรับ | การสนับสนุนตัวจําลอง Siemens EDA QuestaSim* | รองรับ Questa* Intel® FPGA Edition, ModelSim® และ Questa* Simulator |
Synopsys* VCS และ VCS MX | คุณสามารถรวมโปรแกรมจําลอง EDA ที่รองรับในขั้นตอนการออกแบบ Quartus® Prime เอกสารนี้ให้แนวทางสําหรับการจําลองการออกแบบ Quartus® Prime ด้วยซอฟต์แวร์ Synopsys VCS หรือ VCS MX | รองรับ Synopsys VCS* และ VCS MX | รองรับ Synopsys VCS* และ VCS MX |
ดูวิดีโอต่อไปนี้สําหรับคําแนะนําในการตั้งค่าการจําลอง: |
ขั้นตอนการจําลอง NativeLink
ในซอฟต์แวร์ Quartus® Prime Standard Edition คุณจะมีตัวเลือกในการใช้งาน NativeLink ซึ่งจะช่วยให้คุณสามารถเปิดขั้นตอนทั้งหมดที่จําเป็นสําหรับการจําลองการออกแบบของคุณโดยอัตโนมัติหลังจากแก้ไขซอร์สโค้ดหรือ IP ของคุณ
คุณสมบัติ NativeLink รวมตัวจําลอง EDA ของคุณกับซอฟต์แวร์ Quartus® Prime Standard Edition โดยทํารายการต่อไปนี้โดยอัตโนมัติ:
- การสร้างไฟล์จําลองเฉพาะและสคริปต์การจําลอง
- การคอมไพล์ไลบรารีการจําลองแบบ
- การเปิดตัวโปรแกรมจําลองของคุณโดยอัตโนมัติตามการวิเคราะห์และการดําเนินการวิเคราะห์ การวิเคราะห์ และการสังเคราะห์ของซอฟต์แวร์ Quartus® Prime หรือหลังจากการคอมไพล์แบบเต็ม
ทรัพยากรสําหรับการตั้งค่าการจําลอง NativeLink
คําอธิบายชนิดทรัพยากร | การตั้งค่าการจําลอง NativeLink | |
---|---|---|
การใช้การจําลอง NativeLink | คู่มือผู้ใช้ | บทในคู่มือผู้ใช้ Quartus Prime standard edition: การจําลองของบุคคลที่สาม |
วิธีตั้งค่าการจําลอง NativeLink | วีดิทัศน์ | วิดีโอสั้นๆ ที่สาธิตวิธีการตั้งค่า NativeLink สําหรับการออกแบบที่เรียบง่าย |
คําอธิบาย | ชนิดทรัพยากร | ทรัพยากรการจําลองแบบ |
---|---|---|
การจําลอง Altera FPGA Designs (Quartus® Prime Pro Edition) | คู่มือผู้ใช้ | เอกสารหลักสําหรับซอฟต์แวร์ Quartus® Prime Pro edition |
การจําลอง FPGA Design (Quartus® Prime Standard Edition) | คู่มือ | เอกสารหลักสําหรับซอฟต์แวร์ Quartus® Prime standard edition |
การสร้าง Testbench ด้วยเครื่องมือจําลอง Intel® FPGA-ModelSim* | วีดิทัศน์ | วิดีโอนี้จะให้วิธีที่ง่ายที่สุดในการสร้างเครื่องมือทดสอบด้วย Altera-Modelsim คุณสามารถแก้ไขเครื่องมือทดสอบด้วยการเขียนโปรแกรม VHDL/ Verilog ในเครื่องมือทดสอบที่สร้างขึ้น ติดตาม FPGA เพื่อดูว่าเราตั้งโปรแกรมให้ประสบความสําเร็จอย่างไร และสามารถช่วยคุณรับมือกับปัญหา FPGA ด้วยโซลูชันที่ครอบคลุม |
การจําลองการออกแบบโปรเซสเซอร์ Nios® II | วีดิทัศน์ | วิดีโอนี้อธิบายวิธีการจําลองการออกแบบโปรเซสเซอร์ Nios II ติดตาม FPGA เพื่อดูว่าเราตั้งโปรแกรมให้ประสบความสําเร็จอย่างไร และสามารถช่วยคุณรับมือกับปัญหา FPGA ด้วยโซลูชันที่ครอบคลุม |
วิธีการจําลองบล็อกอินเทอร์เฟซหน่วยความจําอนุกรมที่ใช้งานอยู่ | วีดิทัศน์ | วิดีโอนี้จะแสดงวิธีการจําลองการอ่านและเขียนไปยังแฟลชของบุคคลที่สามโดยใช้บล็อกอินเทอร์เฟซหน่วยความจําซีเรียลที่ใช้งานอยู่ |
การสร้างการจําลองการออกแบบตัวอย่าง PHYLite ใน ModelSim* ใน 16.1 ด้วย Arria® 10 | วีดิทัศน์ | วิดีโอแนะนํานี้สาธิตวิธีการสร้างไฟล์จําลองจากการตั้งค่า PHYLite แบบกําหนดเองใน Qsys และยังจะแนะนําวิธีการตั้งค่าสภาพแวดล้อมการจําลองใน ModelSim เพื่อรันการจําลอง PHYLite คู่มือวิดีโอนี้กําลังใช้อุปกรณ์เฉพาะ Arria 10, 16.1 Quartus และ ModelSim 10.5c |
วิธีการจําลองการสั่งซื้อ Cyclone® V 8b10b IP Byte | วีดิทัศน์ | วิดีโอนี้จะแสดงวิธีการจัดตําแหน่งคําด้วยตนเองและการจัดลําดับไบต์ใน Cyclone V Native PHY กับโหมด PCS 8b10b และความกว้างสองเท่า วิธีการที่คล้ายกันนี้ใช้ได้กับอุปกรณ์ซีรีส์ V ทั้งหมด เมื่อเปิดใช้งานโหมด PCS ความกว้างสองเท่าและเปิดใช้งานไบต์ SERDES ตัวรับส่งสัญญาณจะได้รับอัตราการถ่ายโอนข้อมูลที่สูงขึ้น |
การจําลอง RLDRAM3 Arria® 10 โดยใช้โมเดลหน่วยความจําของผู้จัดจําหน่าย | วีดิทัศน์ | วิดีโอนี้จะแสดงผู้ใช้วิธีการรันการจําลองการออกแบบตัวอย่างโดยการเปลี่ยน FPGA โมเดลหน่วยความจําทั่วไปกับโมเดลหน่วยความจําของผู้จําหน่าย |
การจําลองคอร์ SoC HPS DDR3 | วีดิทัศน์ | เรียนรู้วิธีการจําลองคอร์ DDR3 จาก SoC HPS (Hard Processor System) โดยใช้ซอฟต์แวร์ Quartus II เทียบกับ 13.1 และเครื่องมือการรวมระบบ Qsys, Questa Sim 10.1d และเครื่อง Linux ติดตาม FPGA เพื่อดูว่าเราตั้งโปรแกรมเพื่อความสําเร็จได้อย่างไร และช่วยให้คุณสามารถรับมือกับปัญหา FPGA ของคุณด้วยโซลูชันที่ครอบคลุม |
การออกแบบระบบขั้นสูงโดยใช้ Platform Designer: ส่วนประกอบและระบบการจําลอง |
การฝึกอบรมออนไลน์ | การฝึกอบรมนี้เป็นส่วนที่ 1 จาก 4 เครื่องมือการรวมระบบ Platform Designer ช่วยประหยัดเวลาได้อย่างมากโดยการสร้างลอจิกเชื่อมต่อโดยอัตโนมัติไปยังฟังก์ชัน IP และระบบย่อย หลักสูตรออนไลน์ 28 นาที |
4.การสังเคราะห์
ภาพรวมการสังเคราะห์
ขั้นตอนการสังเคราะห์ลอจิกของขั้นตอนการออกแบบซอฟต์แวร์ Quartus® จะนํารหัส Register Transfer Level (RTL) และสร้าง Netlist ของ primitives ระดับล่าง (Netlist หลังการสังเคราะห์) Netlist หลังการสังเคราะห์จะถูกใช้เป็นอินพุตไปยัง Fitter ซึ่งจะวางและกําหนดเส้นทางการออกแบบ
ซอฟต์แวร์ Quartus® Prime และ Quartus® II มีการสังเคราะห์และอินเทอร์เฟซขั้นสูงในตัวกับเครื่องมือการสังเคราะห์ของบุคคลที่สามอื่นๆ ซอฟต์แวร์นี้ยังมีตัวแสดงแผนผังที่คุณสามารถใช้เพื่อวิเคราะห์โครงสร้างของการออกแบบและดูว่าซอฟต์แวร์ตีความการออกแบบของคุณอย่างไร
สามารถดูผลลัพธ์การสังเคราะห์ได้ด้วย ตัวแสดง Quartus® Netlist ทั้งหลังการดีบัก RTL และหลังการแมปเทคโนโลยี
เอกสารการสังเคราะห์
คําอธิบาย | ชื่อเรื่อง |
---|---|
การสังเคราะห์แบบรวม Quartus Prime | เครื่องมือสังเคราะห์แบบรวมของซอฟต์แวร์ Quartus® Prime รองรับการสังเคราะห์ VHDL, Verilog, SystemVerilog และ Altera®ดั้งเดิม FPGA ภาษาเริ่มต้นการออกแบบเฉพาะ |
การสนับสนุนแบบ Synplify | โฟลว์เครื่องมือซอฟต์แวร์ Quartus® Prime ยังรองรับ Synplicity Synplify และ Synplify Pro Logic Synthesizers |
รองรับ Mentor Graphics* Precision RTL | โฟลว์เครื่องมือซอฟต์แวร์ Quartus® Prime ยังรองรับ Mentor Graphics* Precision RTL Synthesizer |
การฝึกอบรมและการสาธิตการสังเคราะห์
คําอธิบาย | ชื่อเรื่อง |
---|---|
การใช้ซอฟต์แวร์ Quartus® Prime: บทนํา (ODSW1100) | สร้างความคุ้นเคยกับสภาพแวดล้อมการออกแบบซอฟต์แวร์ Quartus® Prime พื้นฐาน คุณจะได้เรียนรู้เกี่ยวกับขั้นตอนการออกแบบ FPGA พื้นฐานและวิธีใช้ซอฟต์แวร์ Quartus® Prime ตามขั้นตอน นี่คือหลักสูตรออนไลน์ 80 นาที |
ซีรีส์การออกแบบซอฟต์แวร์ Quartus® Prime: รากฐาน (มาตรฐาน) (ODSW1110) | เรียนรู้การใช้งานซอฟต์แวร์ Quartus® Prime เพื่อพัฒนาการออกแบบ FPGA หรือ CPLD ตั้งแต่การออกแบบเบื้องต้นกระทั่งการเขียนโปรแกรมอุปกรณ์ นี่คือหลักสูตรออนไลน์ 3.5 ชั่วโมง |
ซีรีส์การออกแบบซอฟต์แวร์ Quartus® Prime: รากฐาน (IDSW110) | สร้างโครงการป้อนไฟล์ออกแบบคอมไพล์และกําหนดค่าอุปกรณ์ของคุณเพื่อดูการออกแบบที่ทํางานในระบบ ป้อนข้อจํากัดการกําหนดเวลาและวิเคราะห์การออกแบบโดยใช้ตัววิเคราะห์การกําหนดเวลา ค้นพบว่าซอฟต์แวร์เชื่อมต่อกับเครื่องมือ EDA ทั่วไปที่ใช้ในการสังเคราะห์และการจําลองอย่างไร นี่เป็นหลักสูตรที่นําโดยผู้สอน 8 ชั่วโมง |
การสังเคราะห์ระดับสูง
เครื่องมือ High-Level Synthesis (HLS) จะใช้ในคําอธิบายการออกแบบที่เขียนใน C++ และสร้างโค้ด RTL ที่ปรับให้เหมาะสมสําหรับ FPGAs Altera®
สําหรับข้อมูลเพิ่มเติมเกี่ยวกับ HLS Compiler รวมถึงเอกสาร ตัวอย่าง และหลักสูตรการฝึกอบรม โปรดดูหน้า การสนับสนุน HLS
คําอธิบาย | เอกสาร |
---|---|
คู่มือเริ่มต้นใช้งาน HLS | แสดงวิธีเริ่มต้นสภาพแวดล้อมคอมไพเลอร์การสังเคราะห์ระดับสูงของคุณ นอกจากนี้ยังมีตัวอย่างการออกแบบและบทช่วยสอนเพื่อสาธิตวิธีการใช้คอมไพเลอร์อย่างมีประสิทธิภาพ |
คู่มือผู้ใช้ HLS | ให้คําแนะนําเกี่ยวกับการสังเคราะห์ การตรวจสอบ และการจําลองคอร์ IP สําหรับผลิตภัณฑ์ Altera® FPGA |
คู่มืออ้างอิง HLS | ให้ข้อมูลเกี่ยวกับขั้นตอนการออกแบบส่วนประกอบการสังเคราะห์ระดับสูง (HLS) รวมถึงตัวเลือกคําสั่งและองค์ประกอบการตั้งโปรแกรมอื่นๆ ที่คุณสามารถใช้ในโค้ดส่วนประกอบของคุณ |
คู่มือแนวทางปฏิบัติที่ดีที่สุดของ HLS | เสนอเคล็ดลับและคําแนะนําเกี่ยวกับวิธีการเพิ่มประสิทธิภาพการออกแบบส่วนประกอบของคุณโดยใช้ข้อมูลที่ได้รับจากคอมไพเลอร์ HLS |
5.fitter
Fitter - รุ่น Pro
ด้วยซอฟต์แวร์ Quartus® Prime Pro Edition Fitter ได้ทํางานในขั้นตอนที่ควบคุมได้แยกกัน คุณสามารถเพิ่มประสิทธิภาพแต่ละขั้นแยกกันโดยการใช้งานขั้นตอนนั้นของกระบวนการ fitter ทําซ้ําเพื่อเพิ่มประสิทธิภาพขั้นตอนนั้น
การเพิ่มประสิทธิภาพ Incremental | ขั้น Fitter |
---|---|
แผน | หลังจากขั้นตอนนี้ คุณสามารถรันการวิเคราะห์การกําหนดเวลาของแผนหลังเพื่อตรวจสอบข้อจํากัดการกําหนดเวลาและตรวจสอบหน้าต่างการกําหนดเวลาข้ามนาฬิกา ดูคุณสมบัติตําแหน่งและอุปกรณ์ต่อพ่วง และดําเนินการวางแผนนาฬิกาสําหรับการออกแบบ FPGA Arria® 10 และ Cyclone® 10 FPGA |
สถานที่แรก | หลังจากขั้นตอนนี้ ผู้วางแผนชิปสามารถแสดงการจัดวางองค์ประกอบการออกแบบระดับสูงเริ่มต้นได้ ใช้ข้อมูลนี้เพื่อเป็นแนวทางในการตัดสินใจในการวางพื้นของคุณ สําหรับการออกแบบ FPGA Stratix® 10 คุณสามารถวางแผนนาฬิกาก่อนใครหลังจากดําเนินการในขั้นตอนนี้ได้ |
ที่ | หลังจากขั้นตอนนี้ ตรวจสอบการใช้ทรัพยากรและตรรกะในรายงานการคอมไพล์ และตรวจสอบการวางองค์ประกอบการออกแบบในผู้วางแผนชิป |
เส้นทาง | หลังจากขั้นตอนนี้ ทําการตั้งค่าโดยละเอียดและปิดเวลาค้างไว้ใน ตัววิเคราะห์การกําหนดเวลา และดูความแออัดของเส้นทางผ่านผู้วางแผนชิป |
รีไทม์ | หลังจากขั้นตอนนี้ ให้ดูผลลัพธ์การกําหนดเวลาใหม่ในรายงาน Fitter และแก้ไขข้อจํากัดใดๆ ที่จํากัดการปรับแต่งประสิทธิภาพใหม่เพิ่มเติม |
ตามค่าเริ่มต้น Fitter จะรันผ่านทุกขั้นตอนของ Fitter อย่างไรก็ตาม คุณสามารถวิเคราะห์ผลลัพธ์ของขั้นตอน Fitter เพื่อประเมินการออกแบบของคุณก่อนเริ่มขั้นตอนถัดไป หรือก่อนที่จะเริ่มการคอมไพล์แบบเต็ม สําหรับข้อมูลเพิ่มเติมเกี่ยวกับวิธีการใช้ขั้นตอน Fitter เพื่อควบคุมคุณภาพของผลลัพธ์สําหรับการออกแบบของคุณ โปรดดูที่ส่วน เรียกใช้ Fitter ในคู่มือผู้ใช้คอมไพเลอร์: Quartus® Prime Pro edition
คุณสามารถระบุการตั้งค่าหลายรายการเพื่อส่งระดับความพยายามของ Fitter โดยตรงสําหรับสิ่งต่างๆ เช่น การบรรจุทะเบียน การทําซ้ําและการผสานรวม และระดับความพยายามโดยรวม สําหรับข้อมูลเพิ่มเติมเกี่ยวกับการตั้งค่า Fitter โปรดดูการอภิปรายภายใต้ส่วน การอ้างอิงการตั้งค่า Fitter ในคู่มือผู้ใช้คอมไพเลอร์: Quartus® Prime Pro edition
Fitter - รุ่นมาตรฐาน
ในซอฟต์แวร์ Quartus® Prime Standard Edition คุณสามารถระบุการตั้งค่าต่างๆ เพื่อนําระดับความพยายามของ Fitter โดยตรง เช่น การบรรจุลงทะเบียน การทําซ้ําและการผสานรวม และระดับความพยายามโดยรวม สําหรับรายการทั้งหมดของการตั้งค่า Fitter โปรดดูที่หน้า วิธีใช้ การตั้งค่าคอมไพเลอร์
สําหรับข้อมูลเพิ่มเติมเกี่ยวกับการตั้งค่า Fitter โปรดดู การอภิปรายภายใต้
- การลดส่วนเวลาการคอมไพล์ ของคู่มือผู้ใช้ Quartus® Prime standard edition: Compiler
- การปิดเวลาและส่วนการปรับให้เหมาะสม ของคู่มือผู้ใช้ Quartus® Prime standard edition: การเพิ่มประสิทธิภาพการออกแบบ
6.การวิเคราะห์การกําหนดเวลา
ภาพรวมการวิเคราะห์การกําหนดเวลา
ตัววิเคราะห์เวลาจะกําหนดความสัมพันธ์ของเวลาที่ต้องเป็นไปตามการออกแบบเพื่อให้ทํางานได้อย่างถูกต้องและตรวจสอบเวลาการมาถึงเทียบกับเวลาที่กําหนดเพื่อตรวจสอบเวลา
การวิเคราะห์การกําหนดเวลาเกี่ยวข้องกับแนวคิดพื้นฐานมากมาย: อะซิงโครนัส v. ส่วนโค้งแบบซิงโครนัส การมาถึง และเวลาที่ต้องตั้งค่าและข้อกําหนดการหยุดฯลฯ เหล่านี้ถูกกําหนดในส่วน แนวคิดพื้นฐานการวิเคราะห์การกําหนดเวลา ของคู่มือผู้ใช้ Quartus® Prime Standard Edition: ตัววิเคราะห์เวลา
ตัววิเคราะห์การกําหนดเวลาจะนําข้อจํากัดด้านเวลาของคุณไปใช้ และกําหนดการหน่วงเวลาจากผลของการนําการออกแบบของคุณไปใช้ในอุปกรณ์เป้าหมายของ Fitter
ตัววิเคราะห์เวลาต้องทํางานจากคําอธิบายที่ถูกต้องของข้อกําหนดด้านเวลาของคุณ โดยแสดงเป็นข้อจํากัดด้านเวลา ส่วน การออกแบบที่มีข้อจํากัด ของคู่มือผู้ใช้ Quartus® Prime Standard Edition: Timing Analyzer อธิบายวิธีการเพิ่มข้อจํากัดการกําหนดเวลาให้กับไฟล์ sdc สําหรับใช้โดย Fitter และ Timing Analyzer
การปิดเวลาเป็นกระบวนการวนซ้ําของการกําหนดเวลาใหม่ การปรับพารามิเตอร์สําหรับการสังเคราะห์และ Fitter และจัดการรูปแบบเมล็ดพืช Fitter
ตัววิเคราะห์การกําหนดเวลา
ตัววิเคราะห์การจับเวลา Quartus Prime
Timing Analyzer ในซอฟต์แวร์ Quartus® Prime เป็นเครื่องมือวิเคราะห์การกําหนดเวลาแบบ ASIC ที่ทรงพลังซึ่งตรวจสอบประสิทธิภาพการกําหนดเวลาของลอจิกทั้งหมดในการออกแบบของคุณโดยใช้ข้อจํากัด มาตรฐานอุตสาหกรรม การวิเคราะห์ และวิธีการรายงาน ตัววิเคราะห์การกําหนดเวลาสามารถขับเคลื่อนจากอินเทอร์เฟซผู้ใช้แบบกราฟิก หรือจากอินเทอร์เฟซของบรรทัดคําสั่งเพื่อจํากัด วิเคราะห์ และรายงานผลลัพธ์สําหรับเส้นทางการกําหนดเวลาทั้งหมดในการออกแบบของคุณ
คู่มือผู้ใช้ฉบับเต็มเกี่ยวกับตัววิเคราะห์เวลาสามารถดูได้ในส่วน การรัน Timing Analyzer ของคู่มือผู้ใช้ Quartus® Prime Standard Edition: ตัววิเคราะห์เวลา
หากคุณเพิ่งเริ่มการวิเคราะห์การกําหนดเวลา โปรดดูที่ส่วน ขั้นตอนที่แนะนําสําหรับผู้ใช้ครั้งแรก ของคู่มือผู้ใช้ Quartus® Prime Standard Edition: ตัววิเคราะห์การกําหนดเวลา ซึ่งอธิบายถึงขั้นตอนการออกแบบทั้งหมดโดยใช้ข้อจํากัดพื้นฐาน
คําอธิบาย | หลักสูตรการฝึกอบรม |
---|---|
การวิเคราะห์การกําหนดเวลาของซอฟต์แวร์ Quartus® Prime Pro – ส่วนที่ 1: ตัววิเคราะห์การจับเวลา | คุณจะได้เรียนรู้แง่มุมสําคัญของ Timing Analyzer GUI ในซอฟต์แวร์ Quartus® Prime Pro เวอร์ชั่น 20.3 โดยเน้นที่การประเมินรายงานเวลา |
การวิเคราะห์การกําหนดเวลาของซอฟต์แวร์ Quartus® Prime Pro – ส่วนที่ 2: คอลเล็คชั่น SDC | คุณจะได้เรียนรู้แนวคิดของคอลเลกชันในรูปแบบข้อจํากัดการออกแบบ (SDC) ของ Synopsys* โดยใช้ Timing Analyzer ในซอฟต์แวร์ Quartus® Prime Pro เทียบกับ 20.3 |
การวิเคราะห์การกําหนดเวลาของซอฟต์แวร์ Quartus® Prime Pro – ส่วนที่ 3: ข้อจํากัดสัญญาณนาฬิกา | คุณจะเรียนรู้วิธีการสร้างนาฬิกา สร้างนาฬิกา ความไม่แน่นอนของนาฬิกา และกลุ่มนาฬิกาโดยใช้รูปแบบข้อจํากัดการออกแบบ (SDC) ของ Synopsys* ใน Timing Analyzer ในซอฟต์แวร์ Quartus® Prime Pro เมื่อเทียบกับ 20.3 |
การวิเคราะห์การกําหนดเวลาของซอฟต์แวร์ Quartus® Prime Pro – ส่วนที่ 4: อินเทอร์เฟซ I/O | คุณจะได้เรียนรู้พื้นฐานเกี่ยวกับอินเทอร์เฟซ I/O ที่จํากัดโดยใช้รูปแบบ Synopsys* Design Constraints (SDC) ใน Timing Analyzer ในซอฟต์แวร์ Quartus® Prime Pro เทียบกับ 20.3 |
การวิเคราะห์การกําหนดเวลาของซอฟต์แวร์ Quartus® Prime Pro - ส่วนที่ 5: ข้อยกเว้นการกําหนดเวลา | คุณจะเรียนรู้เกี่ยวกับและวิธีการใช้ข้อยกเว้นเวลาเส้นทางเท็จ เส้นทางหลายรอบ และนาทีและความล่าช้าสูงสุดโดยใช้รูปแบบข้อจํากัดการออกแบบ (SDC) ของ Synopsys* ใน Timing Analyzer ในซอฟต์แวร์ Quartus® Prime Pro เทียบกับ 20.3 |
การวิเคราะห์การกําหนดเวลา: การบรรยาย | คุณจะเรียนรู้วิธีจํากัดและวิเคราะห์การออกแบบสําหรับการกําหนดเวลาโดยใช้ Timing Analyzer ในซอฟต์แวร์ Quartus® Prime Pro เทียบกับ 22.1 |
การวิเคราะห์การกําหนดเวลา: ห้องปฏิบัติการในมือ | เวิร์กช็อปของเขาดําเนินการตามการวิเคราะห์การกําหนดเวลา FPGA Altera: ชั้นเรียนการบรรยาย จะมีการตรวจสอบข้อจํากัด SDC โดยสรุปที่เรียนรู้ในคลาสก่อนหน้าก่อนเริ่มแล็ป |
Altera®การปิดเวลา FPGA: การบรรยาย | ชั้นเรียนนี้สอนเทคนิคที่ผู้เชี่ยวชาญการออกแบบใช้เพื่อปิดเวลาในการออกแบบที่ "ก้าวข้ามขีดความสามารถ" ของประสิทธิภาพ |
Altera®การปิดเวลา FPGA: ห้องปฏิบัติการลงมือ | เวลาของคุณในระหว่างเวิร์กชอปนี้มักจะใช้เวลาโดยใช้ซอฟต์แวร์ Quartus® Prime เพื่อฝึกฝนเทคนิคการปิดเวลา |
การปิดเวลาโดยใช้การรายงานแบบกําหนดเองของ TimeQuest | เรียนรู้วิธีใช้การรายงานคําแนะนําการปิดเวลา Quartus® Prime ใน Timing Analyzer เพื่อช่วยคุณค้นหาปัญหาที่อาจทําให้เวลาล้มเหลว |
การปิดเวลา
หากตัววิเคราะห์เวลากําหนดว่าไม่เป็นไปตามข้อมูลจําเพาะเกี่ยวกับเวลาของคุณ การออกแบบจะต้องได้รับการปรับให้เหมาะสมเป็นเวลาจนกว่าจะปิดความคลาดเคลื่อนและเป็นไปตามข้อมูลจําเพาะเกี่ยวกับเวลาของคุณ
การปิดเวลาเกี่ยวข้องกับเทคนิคที่เป็นไปได้หลายประการ เทคนิคที่มีประสิทธิภาพมากที่สุดจะแตกต่างกันไปตามการออกแบบแต่ละแบบ บท การปิดเวลาและการเพิ่มประสิทธิภาพ ในคู่มือผู้ใช้การเพิ่มประสิทธิภาพการออกแบบ: Quartus Prime Pro Edition ให้คําแนะนํามากมายในการใช้งานจริงเกี่ยวกับกระบวนการปิดเวลา
มีหลักสูตรการฝึกอบรมเพิ่มเติมหลายหลักสูตรเพื่อช่วยให้คุณเข้าใจวิธีประเมินการออกแบบของคุณสําหรับเทคนิคการปิดเวลาที่เหมาะสม
หมายเลขหลักสูตร | การฝึกอบรม | ||
---|---|---|---|
การคอมไพล์ในรูปแบบบล็อก Incremental ในซอฟต์แวร์ Quartus® Prime Pro: การปิดเวลาและเคล็ดลับ | 22 นาที | ออนไลน์, ฟรี | OIBBC102 |
การประเมินการออกแบบสําหรับการปิดเวลา | 42 นาที | ออนไลน์, ฟรี | ODSWTC02 |
แนวทางการออกแบบ HDL ที่ดีที่สุดสําหรับการปิดเวลา | 50 นาที | ออนไลน์, ฟรี | OHDL1130 |
การปิดเวลาโดยใช้การรายงานแบบกําหนดเองของ TimeQuest | 21 นาที | ออนไลน์, ฟรี | OTIM1100 |
Altera®การปิดเวลา FPGA: การบรรยาย | 8 ชั่วโมง | ผู้สอน | IDSW145 |
7.การเพิ่มประสิทธิภาพการออกแบบ
ภาพรวมการเพิ่มประสิทธิภาพการออกแบบ
ซอฟต์แวร์ Quartus® Prime และ Quartus® II มีคุณสมบัติมากมายที่ช่วยให้คุณปรับการออกแบบของคุณให้เหมาะสมสําหรับพื้นที่และการกําหนดเวลา ในส่วนนี้มีแหล่งข้อมูลที่ช่วยให้คุณมีเทคนิคและเครื่องมือการเพิ่มประสิทธิภาพการออกแบบ
ซอฟต์แวร์ Quartus® Prime และ Quartus® II นําเสนอการเพิ่มประสิทธิภาพ Netlist การสังเคราะห์ทางกายภาพเพื่อเพิ่มประสิทธิภาพการออกแบบให้มากกว่ากระบวนการคอมไพล์มาตรฐาน การสังเคราะห์ทางกายภาพช่วยปรับปรุงประสิทธิภาพการออกแบบของคุณ โดยไม่คํานึงถึงเครื่องมือการสังเคราะห์ที่ใช้
เอกสารสนับสนุนการปรับแต่งประสิทธิภาพ
คําอธิบาย | ชื่อเรื่อง |
---|---|
การปรับพื้นที่และการกําหนดเวลา | ส่วนคู่มือผู้ใช้นี้จะอธิบายวิธีการลดการใช้ทรัพยากร ลดเวลาการคอมไพล์ และปรับปรุงประสิทธิภาพการกําหนดเวลาเมื่อออกแบบสําหรับอุปกรณ์ Altera® |
การวิเคราะห์และเพิ่มประสิทธิภาพฟลอร์แพลนการออกแบบ | ส่วนคู่มือผู้ใช้นี้จะอธิบายวิธีใช้ผู้วางแผนชิปเพื่อวิเคราะห์และเพิ่มประสิทธิภาพแผนผังสําหรับการออกแบบของคุณ บทนี้ยังอธิบายวิธีใช้ Logic Lock Region เพื่อควบคุมการจัดวาง |
การจัดการการเปลี่ยนแปลงทางวิศวกรรมด้วยผู้วางแผนชิป | ส่วนคู่มือผู้ใช้นี้อธิบายถึงวิธีการใช้ผู้วางแผนชิปเพื่อปรับใช้ลําดับการเปลี่ยนทางวิศวกรรม (ECO) สําหรับอุปกรณ์ที่รองรับ |
การเพิ่มประสิทธิภาพ Netlist และการสังเคราะห์ทางกายภาพ | ส่วนคู่มือผู้ใช้นี้จะอธิบายว่าการเพิ่มประสิทธิภาพ Netlist และการสังเคราะห์ทางกายภาพในซอฟต์แวร์ Quartus® Prime สามารถปรับเปลี่ยน Netlist ของการออกแบบของคุณได้อย่างไร และช่วยปรับปรุงคุณภาพผลลัพธ์ของคุณ |
ศูนย์แหล่งข้อมูลการคอมไพล์ Incremental | เว็บเพจศูนย์แหล่งข้อมูลนี้แสดงวิธีที่คุณสามารถใช้การคอมไพล์ส่วนเพิ่มเพื่อลดเวลาการคอมไพล์และรักษาผลลัพธ์ระหว่างการปรับแต่งประสิทธิภาพ |
หลักสูตรการฝึกอบรมการเพิ่มประสิทธิภาพการออกแบบ
หมายเลขหลักสูตร | ตามระยะเวลา | ของหลักสูตร | |
---|---|---|---|
การใช้ซอฟต์แวร์ Quartus® Prime Pro: ผู้วางแผนชิป | 29 นาที | ออนไลน์, ฟรี | OPROCHIPPLAN |
การใช้ตัวสํารวจพื้นที่การออกแบบ | 22 นาที | ออนไลน์, ฟรี | ODSE |
การปิดเวลาโดยใช้การรายงานแบบกําหนดเองของตัววิเคราะห์การกําหนดเวลา | 21 นาที | ออนไลน์, ฟรี | OTIM1100 |
แนวทางการออกแบบที่ดีที่สุดสําหรับการปิดเวลา | 50 นาที | ออนไลน์, ฟรี | OHDL1130 |
เครื่องมือการปรับแต่งการออกแบบ
ซอฟต์แวร์ Quartus® Prime มีเครื่องมือที่นําเสนอการออกแบบของคุณในรูปแบบที่มองเห็นได้ เครื่องมือเหล่านี้ช่วยให้คุณวินิจฉัยพื้นที่ที่เป็นปัญหาใด ๆ ในการออกแบบของคุณในแง่ของความไม่มีประสิทธิภาพทางลอจิคัลหรือทางกายภาพ
- คุณสามารถใช้ ตัวแสดง Netlist เพื่อดูการแสดงแผนผังของการออกแบบของคุณในหลายขั้นตอนในกระบวนการปรับใช้: ก่อนการสังเคราะห์ หลังการสังเคราะห์ และหลังการวางตําแหน่งและเส้นทาง ซึ่งจะช่วยให้คุณสามารถยืนยันความตั้งใจในการออกแบบของคุณในแต่ละขั้นตอน
- ผู้วางแผนพาร์ติชั่นการออกแบบช่วยให้คุณเห็นภาพและแก้ไขรูปแบบการแบ่งพาร์ติชันของการออกแบบโดยแสดงข้อมูลเวลา ความหนาแน่นในการเชื่อมต่อแบบสัมพัทธ์ และการจัดวางพาร์ติชั่นทางกายภาพ คุณสามารถค้นหาพาร์ติชั่นในโปรแกรมดูอื่นๆ หรือแก้ไขหรือลบพาร์ติชั่นได้
- ด้วย ผู้วางแผนชิป คุณสามารถทําการบ้านของแผนผังฟลอร์แพลน ทําการวิเคราะห์พลังงาน และแสดงภาพเส้นทางที่สําคัญและความแออัดของเส้นทาง ผู้วางแผนการแบ่งส่วนการออกแบบและผู้วางแผนชิปช่วยให้คุณสามารถแบ่งพาร์ติชั่นและจัดวางการออกแบบของคุณในระดับที่สูงขึ้นได้
- Design Space Explorer II (DSE) ทําการค้นหาการตั้งค่าที่ให้ผลลัพธ์ที่ดีที่สุดในทุกการออกแบบโดยอัตโนมัติ DSE สํารวจพื้นที่การออกแบบของการออกแบบ ใช้เทคนิคการปรับแต่งประสิทธิภาพที่หลากหลาย และวิเคราะห์ผลลัพธ์ที่จะช่วยให้คุณค้นพบการตั้งค่าที่ดีที่สุดสําหรับการออกแบบของคุณ
การใช้เครื่องมือเหล่านี้สามารถช่วยให้คุณเพิ่มประสิทธิภาพการใช้งานอุปกรณ์
ตัวแสดง Netlist
ตัวแสดง Netlist ของซอฟต์แวร์ Quartus® Prime มอบวิธีที่มีประสิทธิภาพในการดูการออกแบบของคุณในหลากหลายขั้นตอน สามารถตรวจสอบข้ามได้ด้วยมุมมองการออกแบบอื่นๆ: คุณสามารถเลือกรายการและเน้นรายการนั้นในหน้าต่าง ผู้วางแผนชิป และ หน้าต่างตัวแสดงไฟล์การออกแบบ
- RTL Viewer แสดงลอจิกและการเชื่อมต่อที่อนุมานโดยการสังเคราะห์ หลังจากการสรุปลําดับชั้นและบล็อกลอจิกหลัก คุณสามารถใช้ RTL Viewer เพื่อตรวจสอบการออกแบบของคุณก่อนการจําลองหรือกระบวนการตรวจสอบอื่นๆ
- Technology Map Viewer (Post-Mapping) สามารถช่วยคุณค้นหาโหนดในรายการสุทธิของคุณหลังจากการสังเคราะห์แต่ก่อนวางและกําหนดเส้นทาง
- Technology Map Viewer (Post-Fitting) แสดง Netlist หลังจาก place-and-route นี่อาจแตกต่างจาก Netlist หลังการแมป เนื่องจาก fitter อาจทําให้การเพิ่มประสิทธิภาพเพื่อให้เป็นไปตามข้อจํากัดในระหว่างการเพิ่มประสิทธิภาพทางกายภาพ
RTL Viewer จะแสดงลอจิกที่อนุมานโดยเครื่องมือ Synthesis หลังจากการอธิบายลําดับชั้นและบล็อกฟังก์ชันหลัก
ตัวแสดงแผนที่เทคโนโลยีแสดงลอจิกหลังการสังเคราะห์ ("มุมมอง Post Map") หรือหลังจากวางและกําหนดเส้นทาง ("มุมมอง post fit")
Netlist และ Finite State Machine Viewers
ดูการสาธิตตัวแสดง Netlist ของซอฟต์แวร์ Quartus® และตัวแสดงเครื่องสถานะ Finite ในวิดีโอด้านล่าง
ตัวแสดง Quartus® Prime Netlist: เครื่องมือที่ช่วยวิเคราะห์และดีบักการออกแบบของคุณ (ส่วนที่ 1)
Quartus® Prime RTL Viewer และ State Machine Viewer มอบวิธีอันทรงพลังในการดูผลลัพธ์การสังเคราะห์เบื้องต้นและที่แมปอย่างสมบูรณ์ของคุณระหว่างการดีบัก การเพิ่มประสิทธิภาพ และกระบวนการเริ่มต้นที่จํากัด
ตัวแสดง Quartus® Prime Netlist: เครื่องมือที่ช่วยวิเคราะห์และดีบักการออกแบบของคุณ (ส่วนที่ 2)
Quartus® Prime RTL Viewer และ State Machine Viewer มอบวิธีอันทรงพลังในการดูผลลัพธ์การสังเคราะห์เบื้องต้นและที่แมปอย่างสมบูรณ์ของคุณระหว่างการดีบัก การเพิ่มประสิทธิภาพ และกระบวนการเริ่มต้นที่จํากัด
แหล่งข้อมูล Netlist Viewers
คําอธิบาย | ทรัพยากร |
---|---|
การเพิ่มประสิทธิภาพ Netlist ของการออกแบบ | ส่วนในคู่มือผู้ใช้ Quartus® Prime standard edition: การเพิ่มประสิทธิภาพการออกแบบ ครอบคลุมการใช้ Netlist Viewers |
ผู้วางแผนชิป
การวิเคราะห์แผนผังชั้นการออกแบบจะช่วยปิดการกําหนดเวลาและเพิ่มประสิทธิภาพสูงสุดในการออกแบบที่มีความซับซ้อนสูง ผู้วางแผนชิปในซอฟต์แวร์ Quartus® Prime ช่วยให้คุณปิดเวลาการออกแบบของคุณได้อย่างรวดเร็ว คุณสามารถใช้ผู้วางแผนชิปร่วมกับ Logic Lock Regions เพื่อรวบรวมการออกแบบของคุณตามลําดับชั้นและช่วยในการวางแผนพื้น นอกจากนี้ ให้ใช้พาร์ติชั่นเพื่อรักษาตําแหน่งและการกําหนดเส้นทางผลลัพธ์จากการคอมไพล์แต่ละครั้ง
คุณสามารถทําการวิเคราะห์การออกแบบรวมทั้งสร้างและเพิ่มประสิทธิภาพฟลอร์แพลนการออกแบบด้วยผู้วางแผนชิป ในการมอบหมาย I/O ให้ใช้ Pin Planner
แหล่งข้อมูลผู้วางแผนชิป
คําอธิบาย | ชนิดของ | ทรัพยากร |
---|---|---|
การวิเคราะห์และเพิ่มประสิทธิภาพแผนผังการออกแบบ | คู่มือผู้ใช้การเพิ่มประสิทธิภาพการออกแบบ: บท Quartus® Prime Pro Edition | เอกสารหลักสําหรับแผนผังการออกแบบและผู้วางแผนชิป |
วิดีโอแนะนําผู้วางแผนชิป (ส่วนที่ 1 จาก 2) | วิดีโอ E2E | บทช่วยสอนเครื่องมือวางแผนชิป: Cross Reference Timing Path, Fan-in, Fan-out, Routing Delays และภูมิภาคนาฬิกา |
วิดีโอแนะนําผู้วางแผนชิป (ส่วนที่ 2 จาก 2) | วิดีโอ E2E | บทช่วยสอนผู้วางแผนชิป: การใช้เส้นทาง การค้นหาองค์ประกอบการออกแบบ และขอบเขต Logic Lock |
ทําการเปลี่ยนแปลง ECO โดยใช้ FPGA เครื่องมือวางแผนชิป Quartus และตัวแก้ไขคุณสมบัติทรัพยากร (ส่วนที่ 1 จาก 3) | วิดีโอ E2E | เปลี่ยนแปลงลําดับการเปลี่ยนทางวิศวกรรมขนาดเล็ก (ECO) ในปลายโดยใช้ตัววางแผนชิป |
ทําการเปลี่ยนแปลง ECO โดยใช้ FPGA เครื่องมือวางแผนชิป Quartus และตัวแก้ไขคุณสมบัติทรัพยากร (ส่วนที่ 2 จาก 3) | วิดีโอ E2E | ทําการเปลี่ยนแปลง ECO เล็กน้อยในปลายโดยใช้ผู้วางแผนชิป |
ทําการเปลี่ยนแปลง ECO โดยใช้ FPGA เครื่องมือวางแผนชิป Quartus และตัวแก้ไขคุณสมบัติทรัพยากร (ส่วนที่ 3 จาก 3) | วิดีโอ E2E | ทําการเปลี่ยนแปลง ECO เล็กน้อยในปลายโดยใช้ผู้วางแผนชิป |
วิธีติดตามการกําหนดเส้นทางท้องถิ่นของ CDR ที่กู้คืนนาฬิกาจากช่องตัวรับส่งสัญญาณไปยังพิน I/O โดยใช้ตัววิเคราะห์เวลาและเครื่องมือวางแผนชิป | วิดีโอ E2E | ตัวอย่างวิธีการใช้ผู้วางแผนชิปกับตัววิเคราะห์การจับเวลา |
ตัวสํารวจพื้นที่การออกแบบ II
Design Space Explorer II (DSE) ช่วยให้คุณสํารวจพารามิเตอร์มากมายที่พร้อมใช้งานสําหรับการคอมไพล์การออกแบบ
คุณสามารถใช้ DSE เพื่อจัดการการคอมไพล์หลายรายการด้วยพารามิเตอร์ที่แตกต่างกันเพื่อค้นหาการผสมผสานพารามิเตอร์ที่ดีที่สุดที่ช่วยให้คุณปิดเวลาได้
แหล่งข้อมูล Design Space Explorer II
คําอธิบาย | ทรัพยากร |
---|---|
การปรับประสิทธิภาพด้วย Design Space Explorer II | คู่มือผู้ใช้เริ่มต้นใช้งาน: Quartus® Prime Pro Edition |
ตัวอย่างการออกแบบ Design Space Explorer (DSE) | ตัวอย่างการสํารวจพื้นที่การออกแบบ |
การใช้ตัวสํารวจพื้นที่การออกแบบ (ODSE) | การฝึกอบรมออนไลน์ฟรี 21 นาที |
8. การดีบักออนชิป
เนื่องจาก FPGAs ประสิทธิภาพ ขนาด และความซับซ้อนเพิ่มขึ้น กระบวนการตรวจสอบจึงเป็นส่วนสําคัญของวงจรการออกแบบ FPGA เพื่อบรรเทาความซับซ้อนของกระบวนการตรวจสอบ Altera มีชุดเครื่องมือดีบักบนชิป เครื่องมือดีบักบนชิปช่วยให้สามารถจับโหนดภายในในการออกแบบของคุณแบบเรียลไทม์เพื่อช่วยให้คุณตรวจสอบการออกแบบของคุณได้อย่างรวดเร็วโดยไม่ต้องใช้อุปกรณ์ภายนอก เช่น ตัววิเคราะห์ลอจิกระบบเปรียบเทียบประสิทธิภาพหรือตัววิเคราะห์โปรโตคอล ซึ่งสามารถลดจํานวนพินที่จําเป็นสําหรับการตรวจสอบสัญญาณระดับบอร์ดได้ สําหรับคู่มือเครื่องมือทั้งหมดในชุดผลิตภัณฑ์ดีบัก โปรดดูที่ส่วน เครื่องมือการดีบักระบบ ใน คู่มือผู้ใช้เครื่องมือดีบัก: Quartus® Prime Pro Edition
คําอธิบาย | ทรัพยากร |
---|---|
คอนโซลระบบ | การวิเคราะห์และแก้ไขจุดบกพร่องของการออกแบบด้วยคอนโซลระบบ |
ตัวรับส่งสัญญาณ Native PHY Toolkit | |
ตัววิเคราะห์ลอจิก Signal Tap | ออกแบบการดีบักด้วย Signal Tap Logic Analyzer |
หัววัดสัญญาณ | คุณสมบัติการกําหนดเส้นทาง Signal Probe incremental ช่วยลดกระบวนการตรวจสอบฮาร์ดแวร์และเวลาในการวางตลาดสําหรับการออกแบบ System-on-a-Programmable-Chip (SOPC) |
อินเทอร์เฟซ Logic Analyzer | การดีบักในระบบโดยใช้ตัววิเคราะห์ลอจิกภายนอก |
แหล่งข้อมูลและหัววัดในระบบ | ค่าลอจิกไดรฟ์และตัวอย่างโดยใช้ JTAG |
ตัวแก้ไขเนื้อหาหน่วยความจําในระบบ | Quartus® Prime In-System Memory Content Editor (ISMCE) ช่วยให้สามารถดูและอัปเดตหน่วยความจําและค่าคงที่ในขณะทํางานผ่านอินเทอร์เฟซ JTAG |
อินเทอร์เฟซ JTAG เสมือน | Altera FPGA IP Core นี้ช่วยให้คุณสร้างห่วงโซ่สแกน JTAG ของคุณเองโดยแสดงสัญญาณควบคุม JTAG ทั้งหมดและกําหนดค่าการลงทะเบียนคําสั่ง JTAG (IRs) และการลงทะเบียนข้อมูล JTAG (DR) ของคุณ |
การดีบักหน่วยความจําภายนอกอํานวยความสะดวกโดยชุดเครื่องมืออินเทอร์เฟซหน่วยความจําภายนอก ซึ่งมีรายละเอียดในศูนย์สนับสนุนอินเทอร์เฟซหน่วยความจําภายนอก ชุดเครื่องมือตัวรับส่งสัญญาณมีสิ่งอํานวยความสะดวกมากมายเพื่อตรวจสอบคุณภาพและประสิทธิภาพของตัวรับส่งสัญญาณ สําหรับข้อมูลเพิ่มเติมเกี่ยวกับชุดเครื่องมือนี้ โปรดดูที่ หน้าผลิตภัณฑ์ชุดเครื่องมือตัวรับส่งสัญญาณ |
ตัวอย่างการออกแบบดีบักบนชิป
ต่อไปนี้เป็นตัวอย่างบางส่วนที่ช่วยให้คุณใช้ประโยชน์จากฟีเจอร์ที่มีอยู่สําหรับสถานการณ์การดีบักทั่วไป
การดีบักบนชิป - หลักสูตรการฝึกอบรม
หมายเลขหลักสูตร | ตามระยะเวลา | ของหลักสูตร | |
---|---|---|---|
ตัววิเคราะห์ลอจิก SignalTap II: บทนําและเริ่มต้นใช้งาน | 47 นาที | ออนไลน์, ฟรี | ODSW1164 |
SignalTap II Logic Analyzer: เงื่อนไขทริกเกอร์พื้นฐานและการกําหนดค่า | 35 นาที | ออนไลน์, ฟรี | ODSW1171 |
เครื่องมือวิเคราะห์ลอจิก Signal Tap: การทริกเกอร์ตามสถานะ การคอมไพล์ และการตั้งโปรแกรม | 37 นาที | ออนไลน์, ฟรี | ODSW1172 |
ตัววิเคราะห์ลอจิก SignalTap II: การได้รับข้อมูลและคุณสมบัติเพิ่มเติม | 35 นาที | ออนไลน์, ฟรี | ODSW1173 |
เครื่องมือแก้ไขจุดบกพร่อง Altera®FPGA | 8 ชั่วโมง | ผู้สอน | IDSW135 |
การดีบักความสมบูรณ์ของห่วงโซ่ JTAG | 26 นาที | ออนไลน์, ฟรี | ODJTAG1110 |
การดีบักบนชิปของ IP อินเทอร์เฟซหน่วยความจําในอุปกรณ์ Arria® 10 | 30 นาที | ออนไลน์, ฟรี | OMEM1124 |
คอนโซลระบบ | 29 นาที | ออนไลน์, ฟรี | OEMB1117 |
การออกแบบระบบขั้นสูงโดยใช้ Platform Designer: การตรวจสอบระบบด้วยคอนโซลระบบ | 26 นาที | ออนไลน์, ฟรี | OAQSYSYSCON |
On-chip Debug - แหล่งข้อมูลอื่นๆ
คําอธิบาย | ทรัพยากร |
---|---|
คู่มือผู้ใช้ Virtual JTAG FPGA IP Core (PDF) | Virtual JTAG FPGA IP Core ให้การเข้าถึงแหล่ง PLD ผ่านอินเทอร์เฟซ JTAG |
AN 323: การใช้ตัววิเคราะห์ลอจิกแบบฝัง SignalTap II ในระบบ SOPC Builder (PDF) | การใช้ SignalTap เพื่อตรวจสอบสัญญาณที่อยู่ภายในโมดูลระบบที่สร้างโดย Platform Designer |
AN 446: การดีบักระบบ Nios® II ด้วย SignalTap II Logic Analyzer (PDF) | หมายเหตุแอปพลิเคชันนี้จะตรวจสอบการใช้ปลั๊กอิน Nios® II ภายในตัววิเคราะห์ลอจิก Signal Tap และแสดงความสามารถ ตัวเลือกการกําหนดค่า และโหมดการใช้งานสําหรับปลั๊กอิน |
AN 799: การดีบักการออกแบบ Arria® 10 ที่รวดเร็วโดยใช้การดีบักสัญญาณและการคอมไพล์ซ้ําอย่างรวดเร็ว (PDF) | หมายเหตุการใช้งานนี้แสดงถึงเทคนิคการดีบักที่ให้การเข้าถึงสัญญาณภายในอุปกรณ์ได้อย่างง่ายดายโดยไม่กระทบต่อการออกแบบ |
หัวข้อขั้นสูง
ขั้นตอนการออกแบบแบบบล็อก
ซอฟต์แวร์การออกแบบ Quartus® Prime Pro Edition มีขั้นตอนการออกแบบบล็อก การคอมไพล์ในรูปแบบบล็อก Incremental และขั้นตอนการใช้ซ้ําบล็อกการออกแบบมีสองประเภท ซึ่งทําให้ทีมพัฒนาที่มีความหลากหลายทางภูมิศาสตร์ของคุณสามารถร่วมงานกันในการออกแบบได้
การคอมไพล์ในรูปแบบบล็อก Incremental จะถูกเก็บหรือลบทื้งพาร์ติชั่นภายในโปรเจค ซึ่งทํางานกับคอร์พาร์ติชั่นและไม่ต้องการไฟล์เพิ่มเติมหรือการวางแผนฟลอร์ พาร์ทิชั่นสามารถลบออก เก็บที่แหล่ง สังเคราะห์ และไฟนอลสแนปช็อต
ขั้นตอน การใช้ซ้ําบล็อกการออกแบบ ทําให้คุณสามารถใช้ซ้ําบล็อกของการออกแบบในโครงการที่ต่างกันโดยการสร้าง การเก็บ และการส่งออกพาร์ติชั่น ด้วยคุณสมบัตินี้ คุณสามารถคาดหวังโมดูล Timing-Closed ที่สะอาดระหว่างทีมต่างๆ ได้
แหล่งข้อมูลการออกแบบบล็อก
- ส่วนขั้นตอนการออกแบบในรูปแบบบล็อกในคู่มือผู้ใช้ Quartus® Prime Pro Edition
- AN 839: บทช่วยสอนการใช้ซ้ําบล็อกออกแบบ: สําหรับบอร์ดการพัฒนา Arria® 10 FPGA
- ไฟล์การออกแบบ (.zip)
- การฝึกอบรม: การใช้ซ้ําบล็อกออกแบบ (OBBDR100)
- การคอมไพล์ในรูปแบบบล็อก Incremental ในซอฟต์แวร์ Quartus® Prime Pro: บทนํา
- การคอมไพล์ในรูปแบบบล็อก Incremental ในซอฟต์แวร์ Quartus® Prime Pro: การแบ่งพาร์ติชันการออกแบบ
- การคอมไพล์ในรูปแบบบล็อก Incremental ในซอฟต์แวร์ Quartus® Prime Pro: การปิดเวลาและเคล็ดลับ
การคอมไพล์ซ้ําอย่างรวดเร็ว
การคอมไพล์ซ้ําอย่างรวดเร็วช่วยให้สามารถนําการสังเคราะห์ก่อนหน้าและผลลัพธ์ fitter กลับมาใช้ใหม่เมื่อทําได้ และจะไม่ประมวลผลบล็อกการออกแบบเดิมซ้ํา การคอมไพล์ซ้ําอย่างรวดเร็วสามารถลดเวลาการคอมไพล์ทั้งหมดหลังจากทําการเปลี่ยนแปลงการออกแบบเล็กน้อย การคอมไพล์ซ้ําอย่างรวดเร็วสนับสนุนการเปลี่ยนแปลงฟังก์ชันการทํางาน ECO แบบ HDL และให้คุณได้สามารถลดระยะเวลาการคอมไพล์ ในขณะที่รักษาประสิทธิภาพการทํางานของลอจิกเดิม
การคอมไพล์ซ้ําอย่างรวดเร็ว - แหล่งข้อมูลสนับสนุน
คําอธิบาย | ทรัพยากร |
---|---|
ดําเนินการคอมไพล์ซ้ําอย่างรวดเร็ว | ส่วนการคอมไพล์ซ้ําอย่างรวดเร็วในโวลุ่ม 2 ของคู่มือ Quartus® Prime Pro Edition |
AN 799: การดีบักการออกแบบ Arria® 10 ที่รวดเร็วโดยใช้การดีบักสัญญาณและการคอมไพล์ซ้ําอย่างรวดเร็ว (PDF) | หมายเหตุแอปพลิเคชันแสดงให้เห็นว่าการคอมไพล์ซ้ําอย่างรวดเร็วช่วยลดเวลาในการคอมไพล์สําหรับการเปลี่ยนแปลงเล็กน้อยอย่างไร |
การกําหนดค่าใหม่บางส่วน
การกําหนดค่าใหม่บางส่วน (PR) ให้คุณสามารถทําการกําหนดค่าบางส่วนของ FPGA ใหม่ได้แบบไดนามิก ในขณะที่การออกแบบ FPGA ที่เหลือจะยังคงทํางานต่อไป
คุณสามารถสร้างตัวตนได้หลายรายการสําหรับภูมิภาคของอุปกรณ์ และกําหนดค่าภูมิภาคนั้นใหม่โดยไม่ส่งผลกระทบต่อการทํางานในส่วนที่อยู่นอกบุคลิกนั้น
สําหรับข้อมูลเพิ่มเติมเกี่ยวกับการกําหนดค่าใหม่บางส่วน โปรดดูที่หน้า การกําหนดค่าใหม่บางส่วน
เขียน สคริปต์
ซอฟต์แวร์ Quartus® Prime และ Quartus® II ประกอบด้วยการรองรับสคริปต์ที่ครอบคลุมสําหรับขั้นตอนการออกแบบสคริปต์บรรทัดคําสั่งและภาษาคําสั่งเครื่องมือ (Tcl) ไฟล์ปฏิบัติการแบบแยกกันสําหรับแต่ละขั้นตอนของขั้นตอนการออกแบบซอฟต์แวร์ เช่น การสังเคราะห์ ข้อต่อ และการวิเคราะห์การกําหนดเวลา มีตัวเลือกสําหรับการตั้งค่าทั่วไปและการดําเนินงานทั่วไป ส่วนต่อประสานโปรแกรมประยุกต์การเขียนสคริปต์ Tcl (API) มีคําสั่งที่ครอบคลุมฟังก์ชันพื้นฐานไปยังขั้นสูง
การเขียนสคริปต์บรรทัดคําสั่ง
คุณสามารถใช้ไฟล์ประมวลผลบรรทัดคําสั่งของซอฟต์แวร์ Quartus® Prime หรือ Quartus® II ในไฟล์ชุดคําสั่ง, สคริปต์เชลล์, makefiles และสคริปต์อื่นๆ ตัวอย่างเช่น ใช้คําสั่งต่อไปนี้เพื่อคอมไพล์โครงการที่มีอยู่:
$ quartus_sh --flow compile
การเขียนสคริปต์ Tcl
ใช้ Tcl API สําหรับงานต่างๆ ต่อไปนี้:
- การสร้างและการจัดการโครงการ
- ทําการมอบหมาย
- การออกแบบการคอมไพล์
- การแยกข้อมูลรายงาน
- ดําเนินการวิเคราะห์การกําหนดเวลา
คุณสามารถเริ่มต้นใช้งานตัวอย่างบางส่วนได้ใน หน้าเว็บตัวอย่างซอฟต์แวร์ Quartus® II Tcl แหล่งข้อมูลอื่นๆ แสดงอยู่ด้านล่าง
แหล่งข้อมูลการเขียนสคริปต์
คําอธิบาย | ทรัพยากร |
---|---|
คู่มืออ้างอิงการเขียนสคริปต์ Quartus® II | ครอบคลุมทั้งโปรแกรมประมวลผลบรรทัดคําสั่งของซอฟต์แวร์ Quartus® และแพ็คเกจ Tcl และคําสั่งจากภายในเชลล์ซอฟต์แวร์ Quartus® |
คู่มืออ้างอิงไฟล์การตั้งค่า Quartus® Prime Standard Edition | การตั้งค่าพารามิเตอร์ครอบคลุมที่พบใน ไฟล์การตั้งค่าซอฟต์แวร์ Quartus® (.qsf) |
การเขียนสคริปต์บรรทัดคําสั่ง | ส่วนของคู่มือผู้ใช้ Quartus Prime Standard Edition |
ตัวอย่าง Quartus® II Tcl | เว็บเพจที่มีตัวอย่างสคริปต์ Tcl ที่มีประโยชน์มากมาย |
การเขียนสคริปต์บรรทัดคําสั่ง (ODSW1197) | การฝึกอบรมออนไลน์ที่นําเสนอความสามารถในการเขียนสคริปต์บรรทัดคําสั่งในซอฟต์แวร์ Quartus® (30 นาที) |
ข้อมูลเบื้องต้นเกี่ยวกับ Tcl (ODSW1180) | บทนําสู่ไวยากรณ์การเขียนสคริปต์ Tcl |
การเขียนสคริปต์ Tcl ซอฟต์แวร์ Quartus® Prime | หลักสูตรนี้นําเสนอความสามารถในการเขียนสคริปต์ Tcl ในซอฟต์แวร์ Quartus® Prime โดยครอบคลุมถึงแพ็คเกจ Tcl ซอฟต์แวร์ Quartus Prime ที่ใช้บ่อยและการใช้สคริปต์ Tcl ทั่วไปสี่การใช้ในขั้นตอนการคอมไพล์พร้อมตัวอย่าง |
OpenCL และโลโก้ OpenCL เป็นเครื่องหมายการค้าของ Apple Inc. ซึ่งใช้งานโดยได้รับอนุญาตจาก Khronos
เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้