Verilog HDL: ตัวนับพฤติกรรม

author-image

โดย

ตัวอย่างนี้อธิบายถึงตัวนับที่สามารถโหลดได้ 8 บิตพร้อมการเปิดใช้งานจํานวน การสร้างเสมอที่ไฮไลต์เป็นข้อความสีแดงจะอธิบายว่าตัวนับควรทํางานอย่างไร

สําหรับข้อมูลเพิ่มเติมของ Verilog ให้ไปที่:

behav_counter.v

โมดูล behav_counter( d, clk, ชัดเจน, โหลด, up_down, qd);

อินพุตการรายงานพอร์ต   [7:0] d;
CLK อินพุต   ;
ล้างอินพุต   ;
โหลดอินพุต   ;
อินพุต   up_down;
เอาต์พุต  [7:0] qd;

reg     [7:0] cnt;

@ เสมอ (posedge clk)
จะเริ่ม
    หาก (!clear)
        cnt <= 8'h00;
    หาก (โหลด)
        cnt <= d;
    หาก (up_down)
        cnt <= cnt + 1;
    cnt
        <= cnt - 1; 
 
 
 QD ของ End Assign = cnt;



endmodule

เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้