การสนับสนุน FPGAs Stratix® IV

Altera® มุ่งมั่นที่จะสนับสนุนวงจรชีวิตที่ยาวนานสําหรับตระกูลผลิตภัณฑ์ FPGA และ CPLD ด้วยอุปกรณ์ที่โตเต็มที่ 15 ปีหรือมากกว่านับตั้งแต่แนะนํา เราได้ขยายอายุการใช้งานสําหรับตระกูลผลิตภัณฑ์นี้ไปสู่ปี 2035* ด้วยอายุการใช้งานที่ยาวนานขึ้นของผลิตภัณฑ์ที่ช่วยลดความเสี่ยงที่จะล้าสมัยและลดค่าใช้จ่ายในการออกแบบใหม่ ลูกค้าจะรู้สึกสบายใจในการออกแบบในผลิตภัณฑ์ของเรา

{"limitDisplayedContent":"showAll","collectionRelationTags":{"relations":{"EXCLUDE":["etm-98109f19d4f24b6dad7442422a995aee","etm-f6e0d09943a943d383e81b5f64a3956c","etm-f98414c18285485aa46a8e4c3802bc70","etm-ececc448f2f54f0e87cdf5558856b275"],"AND":["etm-E1339AB5-98AF-4DC8-9A3C-F1FDF6C57D09"],"Child":["732128"]},"featuredIds":[]},"collectionId":"653133","resultPerPage":75.0,"filters":[{"facetId":"ContentType","type":"ContentType","deprecated":true,"name":"ContentType","position":0},{"facetId":"guidetmE1339AB598AF4DC89A3CF1FDF6C57D09","field":"stm_10385_th","type":"hierarchical","basePath":"Primary Content Tagging","displayName":"Stratix® IV FPGAs","deprecated":false,"rootFilter":"guidetmE1339AB598AF4DC89A3CF1FDF6C57D09","rootPath":["Primary Content Tagging","Intel® FPGA","อุปกรณ์ที่ตั้งโปรแกรมได้ Intel®","Intel® Stratix®","Stratix® IV FPGA"],"position":1},{"facetId":"lastupdated","type":"lastupdated","deprecated":true,"name":"lastupdated","position":2}],"coveoRequestHardLimit":"1000","accessDetailsPagePath":"/content/www/us/en/secure/design/internal/access-details.html","collectionGuids":["etm-E1339AB5-98AF-4DC8-9A3C-F1FDF6C57D09"],"cardView":false,"sorting":"Popularity","defaultImagesPath":"/content/dam/www/public/us/en/images/uatable/default-icons","coveoMaxResults":5000,"coveoSplitSize":0,"fpgaFacetRootPaths":"{\"fpgadevicefamily\":[\"Primary Content Tagging\",\"Intel® FPGAs\",\"Intel® Programmable Devices\"],\"quartusedition\":[\"Primary Content Tagging\",\"Intel® FPGAs\",\"Intel® Quartus Software\"],\"quartusaddon\":[\"Primary Content Tagging\",\"Intel® FPGAs\",\"Intel® Quartus Software - Add-ons\"],\"fpgaplatform\":[\"Primary Content Tagging\",\"Intel® FPGAs\",\"Intel® FPGA Platforms\"]}","newWrapperPageEnabled":true,"descendingSortingForNumericalFacetsName":"[\"Intel® Quartus® Prime Pro Edition\",\"Intel® Quartus® Prime Lite Edition\",\"Intel® Quartus® Prime Standard Edition\",\"Quartus® II Subscription Edition\",\"Quartus® II Web Edition\"]","columnsConfiguration":{"idColumn":false,"dateColumn":false,"versionColumn":false,"contentTypeColumn":false,"columnsMaxSize":0},"dynamicColumnsConfiguration":[{"name":"DynamicColumn_id","type":"id","gtv":"ID","width":60,"selected":true},{"name":"DynamicColumn_date","type":"date","gtv":"วันที่","width":60,"selected":true},{"name":"DynamicColumn_version","type":"version","gtv":"เวอร์ชั่น","width":135,"selected":true}],"curatedFilter":[{"title":"Device Handbook","tags":[{"relationship":"AND","value":"All datasheets","id":"etm-36700c9aa34b4eda85cd48895b1f7002"}]}],"updateCollateralMetadataEnabled":true,"relatedAssetsEnable":true,"disableExpandCollapseAll":false,"enableRelatedAssetsOnExpandAll":false}

เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้