คําสั่งสร้างสัญญาณนาฬิกาของตัววิเคราะห์เวลา

author-image

โดย

คุณสามารถใช้คําสั่ง create_clock เพื่อสร้างนาฬิกาที่เครื่องบันทึกข้อมูล พอร์ต หรือพินใดก็ได้ คุณสามารถสร้างนาฬิกาแต่ละนาฬิกาด้วยคุณสมบัติเฉพาะ รายการต่อไปนี้แสดงคําสั่ง create_clock และตัวเลือกที่มีอยู่:

create_clock
     -period <ค่าperiod>
     [-name <clock name>]
     [-waveform <edge list>]
     [-add]
     วัตถุแหล่งข้อมูล<>

ตารางที่ 1 อธิบายถึงตัวเลือกสําหรับคําสั่งcreate_clock

หมาย เหตุ:

  1. หน่วยเวลาเริ่มต้นในตัววิเคราะห์เวลาคือนาโนวินาที (ns)

นาฬิกาที่กําหนดด้วยคําสั่ง create_clock จะมีค่าความหน่วงแฝงตามแหล่งข้อมูลเริ่มต้นเป็นศูนย์ ตัววิเคราะห์เวลาจะคํานวณความหน่วงแฝงของเครือข่ายของนาฬิกาสําหรับนาฬิกาเสมือนโดยอัตโนมัติ

การสร้างนาฬิกา 100MHz

ตัวอย่างนี้แสดงวิธีสร้างนาฬิกา 10 ns ด้วยรอบหน้าที่ 50 เปอร์เซ็นต์ โดยที่ขอบเพิ่มขึ้นครั้งแรกเกิดขึ้นที่ 0 ns นําไปใช้กับ Clk พอร์ต

create_clock -ช่วง 10 -รูปคลื่น { 0 5 } clk

เปลี่ยนนาฬิกา 100MHz ด้วยการสร้างนาฬิกา 90 องศา

ตัวอย่างนี้แสดงวิธีสร้างนาฬิกา 10 ns ด้วยรอบหน้าที่ 50 เปอร์เซ็นต์ที่เปลี่ยนเป็นเฟส 90 องศานําไปใช้กับclk_sysพอร์ต

create_clock -ช่วง 10 -รูปคลื่น { 2.5 7.5 } clk_sys

เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้