ตัวอย่างการออกแบบเพิ่มเติม OpenCL™ Vector

แนะนําสําหรับ:

  • อุปกรณ์: ไม่ทราบ

  • Quartus®: v17.1

author-image

โดย

ตัวอย่างนี้เป็นตัวอย่างพื้นฐานที่ใช้เคอร์เนล Open Computing Language (OpenCL) ในการคํานวณการเพิ่มเวกเตอร์ N-element สองตัว เอาต์พุตได้รับการตรวจสอบเทียบกับการอ้างอิงสีทองที่คํานวณบน CPU โฮสต์

นอกเหนือจากการสาธิตฟังก์ชันพื้นฐานของอินเทอร์เฟซการเขียนโปรแกรมแอปพลิเคชัน OpenCL (API) แล้ว ตัวอย่างนี้ยังแสดงวิธีการแบ่งพาร์ติชันปัญหาใหญ่ระหว่างอุปกรณ์ OpenCL ต่างๆ หากมีอุปกรณ์ M อยู่ โปรแกรมโฮสต์จะแบ่งปัญหาที่แต่ละอุปกรณ์ประมวลผลผลลัพธ์สําหรับองค์ประกอบ N / M

คุณสมบัติ

  • OpenCL API พื้นฐาน
  • การแบ่งพาร์ติชันอุปกรณ์หลายอุปกรณ์
  • กิจกรรม OpenCL และโปรไฟล์กิจกรรม

ดาวน์โหลด

ตัวอย่างการออกแบบให้ซอร์สโค้ดสําหรับอุปกรณ์ OpenCL (.cl) และแอปพลิเคชันโฮสต์ สําหรับการคอมไพล์แอปพลิเคชันโฮสต์ แพ็คเกจ Linux* ประกอบด้วย Makefile และแพคเกจ Windows ประกอบด้วยโครงการ Microsoft Visual Studio 2010

การดาวน์โหลดต่อไปนี้มีให้สําหรับตัวอย่างนี้:

การใช้การออกแบบนี้อยู่ภายใต้และอยู่ภายใต้ข้อกําหนดและเงื่อนไขของข้อตกลงสิทธิ์การใช้งานการออกแบบที่อ้างอิงฮาร์ดแวร์

ข้อกําหนดซอฟต์แวร์และฮาร์ดแวร์

ตัวอย่างการออกแบบนี้ต้องใช้เครื่องมือต่อไปนี้:

  • Intel® FPGAซอฟต์แวร์ v17.1 หรือใหม่กว่า
  • Intel FPGA SDK สําหรับ OpenCL v17.1 หรือใหม่กว่า
  • บน Linux: GNU Make and gcc
  • บน Windows: Microsoft Visual Studio 2010
  • หากต้องการคอมไพล์ไปยังสถาปัตยกรรม arm32 ให้รับ SoC EDS v17.0 หรือใหม่กว่า
    • สําหรับ Windows คุณจะต้องมี gmake
    • โครงการ VisualStudio ไม่สามารถคอมไพล์เป็น arm32 ได้

ในการดาวน์โหลดเครื่องมือการออกแบบของ Intel ให้ไปที่ หน้า ดาวน์โหลด OpenCL ข้อกําหนดสําหรับระบบปฏิบัติการอ้างอิงนั้นเหมือนกับของ Intel FPGA SDK สําหรับ OpenCL

โลโก้ OpenCL และ OpenCL เป็นเครื่องหมายการค้าของ Apple Inc. ซึ่งใช้งานโดยได้รับอนุญาตจาก Khronos

* ผลิตภัณฑ์อ้างอิงตามข้อมูลจําเพาะของ Khronos ที่เผยแพร่ และผ่านกระบวนการทดสอบความสอดคล้องของ Khronos สามารถดูสถานะความสอดคล้องในปัจจุบันได้ที่www.khronos.org/conformance

เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้