VHDL: รถบัส Tri-State

author-image

โดย

ตัวอย่างนี้ใช้บัฟเฟอร์ tri-state 8 โดยใช้ส่วนคําสั่ง WHEN-ELSE ในคําสั่ง Body ของสถาปัตยกรรม ไม่มีพาธความคิดเห็น ดังนั้นmy_outพินเอาต์พุตจึงถูกระบุเป็น OUT แทนที่จะเป็น INOUT

ตัวอย่างนี้คล้ายกับ VHDL: ตัวอย่างบัสสองทิศทาง ยกเว้นว่าไม่ได้ใช้กลุ่มความคิดเห็น

สําหรับข้อมูลเพิ่มเติมเกี่ยวกับการใช้ตัวอย่างนี้ในโครงการของคุณ ให้ไปที่:


prebus.vhd

IEEE
    ไลบรารี ใช้ ieee.std_logic_1164.ALL;

ENTITY Prebus IS
    PORT(
        my_in : IN  STD_LOGIC_VECTOR(7 DOWNTO 0);
        sel    : IN STD_LOGIC;
        my_out : OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
END prebus;

สถาปัตยกรรมที่เพิ่มขึ้นสูงสุดของพรีบัสจะ
เริ่ม
    my_out <= "ZZZZZZ"
    เมื่อ (sel = '1')
    my_in
อื่น สิ้นสุดการขยายสูงสุด;

เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้