ID บทความ: 000090970 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 13/03/2023

ทําไมจึงพบค่า jitter สูงกว่าที่คาดไว้เมื่อใช้มาตรฐานวิดีโอ SD-SDI กับ F-Tile SDI II Intel® FPGA IP ลูปเวย์ขนานกับตัวอย่างการออกแบบ VCXO ภายนอก

สิ่งแวดล้อม

  • Intel® Quartus® Prime Pro Edition
  • อินเตอร์เฟซ
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    เนื่องจากปัญหาในซอฟต์แวร์ Intel® Quartus® Prime Pro Edition เวอร์ชั่น 22.1 ตัวอย่าง F-Tile SDI II Intel® FPGA IP ลูปแบบขนานกับการออกแบบ VCXO ภายนอกมีค่า jitter สูงกว่าที่กําหนดโดยมาตรฐานวิดีโอ SD-SDI เนื่องจากเอาต์พุตสัญญาณซิงค์ FVH จากคอร์ SDI RX ไม่เป็นแหล่งที่ยอมรับได้ในการสัญญาณนาฬิกา VCXO ภายนอกบนการ์ดลูกที่ต้องใช้ซิงโครไนซ์นาฬิการะหว่าง TX และ RX ปัญหานี้ส่งผลกระทบต่อมาตรฐานวิดีโอ SDI แบบสามอัตราและหลายอัตรา เนื่องจาก SD-SDI เป็นส่วนหนึ่งของมาตรฐานที่รองรับ  ปัญหานี้ยังจะส่งผลกระทบต่อการออกแบบ FPGA Intel Agilex® 7 ที่เป็นไปตามการใช้งานชิป VCXO ของ Intel กับชิป TI LMH1983 ในการออกแบบของพวกเขา

    ความละเอียด

    เมื่อต้องการแก้ไขปัญหานี้ ให้ใช้หนึ่งในสองโซลูชันที่เป็นไปได้:

     

    1. ใช้ ตัวอย่าง F-Tile SDI II Intel® FPGA IP ลูปเป้แบบขนานที่ไม่มีตัวอย่างการออกแบบ VCXO ภายนอก การออกแบบนี้รองรับมาตรฐานวิดีโอ SDI แบบสามอัตราและหลายอัตรา (รวมถึง SD-SDI) ในขณะที่ใช้ PLL ภายในเพื่อซิงโครไนซ์นาฬิการะหว่าง TX และ RX

     

    2. ใช้ชิปตัวแยกสัญญาณนาฬิกาซิงค์ภายนอก เช่น TI LMH1981 เพื่อสร้างสัญญาณจับเวลา FVH และป้อนสัญญาณไปยัง VCXO ภายนอก (TI LMH1983) ตามที่แสดงในรูปภาพที่ 1 ตัวแยกสัญญาณนาฬิกาภายนอก TI LMH1981 มีอยู่ในการ์ดลูก SDI-FMC Nextera และ Terasic 12G SDI-FMC และผู้ใช้ต้องใส่อินพุตเจนล็อกไปยัง TI LMH1981 ตามลําดับ

     

     

    รูปภาพที่ 1

     

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

    เอฟพีจีเอและเอฟพีจีเอ SoC Intel® Agilex™ 7

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้