ID บทความ: 000084822 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 11/09/2012

(จํานวนคําเตือน[1]: <file name="">(): ไม่มีการผูกค่าเริ่มต้นสําหรับส่วนประกอบ: "scfifo" ("maximize_speed" ทั่วไปไม่ได้อยู่ในหน่วยงาน)</file>

สิ่งแวดล้อม

BUILT IN - ARTICLE INTRO SECOND COMPONENT
คำอธิบาย ข้อความเตือนนี้อาจเกิดขึ้นเมื่อ MegaWizard®-สร้างขึ้น DCFIFO การออกแบบจาก Quartus® ซอฟต์แวร์ II เวอร์ชัน 4.0 ถูกคอมไพล์ใน Modelsim® จำลอง

หากคุณเลือก ใช่ (ความเร็วที่ดีที่สุด) ภายใต้ ตัวเลือกการลงทะเบียนเอาต์พุตสําหรับอุปกรณ์ที่มี RAM ซิงโครนัสอย่างสมบูรณ์ ในหน้า 7 ของ MegaWizard เมื่อสร้าง FIFO ซอฟต์แวร์ ModelSim จะออกคําเตือนการคอมไพล์

เพื่อหลีกเลี่ยงข้อความเตือนนี้ด้วยซอฟต์แวร์ Quartus II เวอร์ชัน 4.0 ให้แสดงความคิดเห็นเกี่ยวกับmaximize_speedและoptimize_for_speedพารามิเตอร์/ทั่วไปในไฟล์ที่สร้างขึ้นโดย MegaWizard นอกจากนี้ ให้สร้างและกําหนดค่าสําหรับlpm_widthuอินสแตนซ์ SCFIFO

นี่เป็นตัวอย่างของโค้ดที่แก้ไขภายในไฟล์เอาต์พุต VHDL MegaWizard ที่สร้างขึ้น:

COMPONENT scfifo

GENERIC 

(

ALLOW_RWCYCLE_WHEN_FULL: STRING := "OFF";

ALMOST_EMPTY_VALUE: NATURAL := 0;

ALMOST_FULL_VALUE: NATURAL := 0;

LPM_NUMWORDS: NATURAL;

LPM_SHOWAHEAD: STRING := "OFF";

lpm_width: NATURAL;

lpm_widthu: NATURAL; -- Altera edit

--MAXIMIZE_SPEED: NATURAL := 5; -- Altera edit

--OPTIMIZE_FOR_SPEED: NATURAL := 5; -- Altera edit

OVERFLOW_CHECKING: STRING := "ON";

UNDERFLOW_CHECKING: STRING := "ON";

USE_EAB: STRING := "ON";

lpm_type: STRING := "scfifo"

);



and



scfifo14 : scfifo

 GENERIC MAP (

LPM_NUMWORDS => 3,

LPM_SHOWAHEAD => "OFF",

lpm_width => 32,

lpm_widthu => 2, -- Altera edit

OVERFLOW_CHECKING => "ON",

UNDERFLOW_CHECKING => "ON",

USE_EAB => "OFF)

ปัญหานี้ได้รับการแก้ไขในซอฟต์แวร์ Quartus II เวอร์ชั่น 4.0 SP1

ผลิตภัณฑ์ที่เกี่ยวข้อง

บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

เอฟพีจีเอ Stratix®

เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้