ID บทความ: 000084642 ประเภทข้อมูล: ข้อความแสดงข้อผิดพลาด การตรวจสอบครั้งล่าสุด: 12/02/2015

ข้อ ผิด พลาด: <module name="">แหล่งข้อมูลมีสัญญาณข้อมูลของ <number> บิต แต่อ่างล้างจานไม่เป็น</number></module>

สิ่งแวดล้อม

  • Intel® Quartus® II Subscription Edition
  • DSP
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย เนื่องจากปัญหาใน Quartus® II/DSP Builder 14.1 คุณจะเห็นข้อผิดพลาดด้านบนบนส่วนประกอบที่มีอินเทอร์เฟซ ST (AVST) มากกว่าหนึ่งAvalon®  คุณอาจสังเกตเห็นในรายละเอียดของ Qsys เพื่อดูว่าหนึ่งในอินเทอร์เฟซ AVST ไม่มีสัญญาณและมีอินเทอร์เฟซพิเศษชื่อ "exp" ซึ่งยังไม่มีสัญญาณ
    ความละเอียด

    หากต้องการแก้ไขปัญหานี้ ให้ค้นหาและแก้ไข Qsys ที่สร้างขึ้น_hw.tcl สําหรับส่วนประกอบในข้อความแสดงข้อผิดพลาด

    ทําให้ชื่อสัญญาณไม่ซ้ํากันระหว่างอ่างสตรีมมิง avalon_ทั้งหมด และระหว่างแหล่งสตรีมมิ่ง avalon_ทั้งหมด  ตัวอย่างเช่น คุณสามารถเพิ่ม "1" ให้กับชื่อทั้งหมดบนส่วนประกอบเดียว

    แสดงความคิดเห็นเกี่ยวกับอินเทอร์เฟซ "exp" ด้วย

    ตัวอย่างเช่น:

    เวอร์ชันที่สร้างขึ้นของ Qsys:

    ...

    # Interface AStInput
    add_interfaceอ่างสตรีมมิง AStInput avalon_streaming
    set_interface_property AStInput errorDescriptor ""
    set_interface_property AStInput maxChannel 255
    set_interface_property AStInput ReadyLatency 0
    set_interface_propertyนาฬิกา ASSOCIATED_CLOCK AStInput
    set_interface_propertyเปิดใช้งาน AStInput เป็นจริง
    set_interface_property AStInput dataBitsPerSymbol 17
    add_interface_port AStInput input_readyอินพุตที่พร้อมใช้งาน 1
    set_port_property input_ready VHDL_TYPE STD_LOGIC_VECTOR
    add_interface_port AStInput sink_validการป้อนข้อมูล 1 ที่ถูกต้อง
    set_port_property sink_valid VHDL_TYPE STD_LOGIC_VECTOR
    add_interface_portช่องสัญญาณsink_channel AStInput 8
    การป้อนข้อมูลsink_data AStInput add_interface_port 17
    อินพุต add_interface_port AStInput sink_sop startofpacket 1
    set_port_property sink_sop VHDL_TYPE STD_LOGIC_VECTOR
    add_interface_port AStInput sink_eopอินพุต endofpacket 1
    set_port_property sink_eop VHDL_TYPE STD_LOGIC_VECTOR


    # Interface AStInput1
    add_interfaceอ่างสตรีมมิง AStInput1 avalon_streaming
    set_interface_property AStInput1 errorDescriptor ""
    set_interface_property AStInput1 maxChannel 255
    set_interface_property AStInput1 readyLatency 0
    set_interface_propertyนาฬิกา AStInput1 ASSOCIATED_CLOCK
    set_interface_propertyเปิดใช้งาน AStInput1 เป็นจริง
    set_interface_property AStInput1 dataBitsPerSymbol 17
    add_interface_port AStInput1 input_readyอินพุต 1 ที่พร้อมใช้งาน
    set_port_property input_ready VHDL_TYPE STD_LOGIC_VECTOR
    add_interface_port AStInput1 sink_validอินพุต 1 ที่ถูกต้อง
    set_port_property sink_valid VHDL_TYPE STD_LOGIC_VECTOR
    ช่องสัญญาณsink_channel AStInput1 add_interface_port 8
    การป้อนข้อมูลsink_data AStInput1 add_interface_port 17
    add_interface_port AStInput1 sink_sopอินพุต startofpacket 1
    set_port_property sink_sop VHDL_TYPE STD_LOGIC_VECTOR
    อินพุต add_interface_port AStInput1 sink_eop endofpacket 1
    set_port_property sink_eop VHDL_TYPE STD_LOGIC_VECTOR

    ...

    #อินเตอร์เฟซ exp
    ปลายท่อร้อยสายadd_interface
    มีการเปิดใช้งาน exp set_interface_property เป็นจริง

    เวอร์ชั่นที่แก้ไข:

    # Interface AStInput
    add_interfaceอ่างสตรีมมิง AStInput avalon_streaming
    set_interface_property AStInput errorDescriptor ""
    set_interface_property AStInput maxChannel 255
    set_interface_property AStInput ReadyLatency 0
    set_interface_propertyนาฬิกา ASSOCIATED_CLOCK AStInput
    set_interface_propertyเปิดใช้งาน AStInput เป็นจริง
    set_interface_property AStInput dataBitsPerSymbol 17
    add_interface_port AStInput input_readyอินพุตที่พร้อมใช้งาน 1
    set_port_property input_ready VHDL_TYPE STD_LOGIC_VECTOR
    add_interface_port AStInput sink_validการป้อนข้อมูล 1 ที่ถูกต้อง
    set_port_property sink_valid VHDL_TYPE STD_LOGIC_VECTOR
    add_interface_portช่องสัญญาณsink_channel AStInput 8
    การป้อนข้อมูลsink_data AStInput add_interface_port 17
    อินพุต add_interface_port AStInput sink_sop startofpacket 1
    set_port_property sink_sop VHDL_TYPE STD_LOGIC_VECTOR
    add_interface_port AStInput sink_eopอินพุต endofpacket 1
    set_port_property sink_eop VHDL_TYPE STD_LOGIC_VECTOR


    # Interface AStInput1
    add_interfaceอ่างสตรีมมิง AStInput1 avalon_streaming
    set_interface_property AStInput1 errorDescriptor ""
    set_interface_property AStInput1 maxChannel 255
    set_interface_property AStInput1 readyLatency 0
    set_interface_propertyนาฬิกา AStInput1 ASSOCIATED_CLOCK
    set_interface_propertyเปิดใช้งาน AStInput1 เป็นจริง
    set_interface_property AStInput1 dataBitsPerSymbol 17
    add_interface_port AStInput1 input1_ready อินพุต 1 ที่พร้อมใช้งาน
    อินพุตset_port_property 1_ready VHDL_TYPE STD_LOGIC_VECTOR
    add_interface_port AStInput1 sink1_valid อินพุต 1 ที่ถูกต้อง
    อ่างset_port_property 1_valid VHDL_TYPE STD_LOGIC_VECTOR
    ช่องสัญญาณ add_interface_port AStInput1 sink1_channel 8
    add_interface_port AStInput1 sink1_data อินพุตข้อมูล 17
    add_interface_port AStInput1 sink1_sop อินพุต startofpacket 1
    อ่างล้างหน้าset_port_property 1_sop VHDL_TYPE STD_LOGIC_VECTOR
    add_interface_port AStInput1 sink1_eopอินพุต endofpacket 1
    อ่างset_port_property 1_eop VHDL_TYPE STD_LOGIC_VECTOR

    ...

    #อินเตอร์เฟซ exp
    # ปลายท่อร้อยสายadd_interface
    # set_interface_property exp ที่เปิดใช้งานจริง

    มีกําหนดที่จะแก้ไขในซอฟต์แวร์ Quartus II/DSP Builder ในอนาคต

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

    Stratix® IV E FPGA

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้