ID บทความ: 000084553 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 20/05/2013

Eror ภายใน: ระบบย่อย: DEV, ไฟล์: /quartus/ddb/dev/dev_family_info_mgr_body.cpp, บรรทัด: 279

สิ่งแวดล้อม

BUILT IN - ARTICLE INTRO SECOND COMPONENT
คำอธิบาย

คุณอาจเห็นข้อผิดพลาดภายในนี้ในซอฟต์แวร์ Quartus® II หากคุณติดตั้งไลบรารีอุปกรณ์เริ่มต้นหลังจากติดตั้งโปรแกรมแก้ไขอุปกรณ์ (dp)

ความละเอียด

เพื่อหลีกเลี่ยงข้อผิดพลาดนี้ ให้ปรับใช้โปรแกรมแก้ไขอุปกรณ์อีกครั้งที่ด้านบนของการติดตั้งซอฟต์แวร์ Quartus II ปัจจุบัน การปรับใช้โปรแกรมแก้ไขอุปกรณ์จะอัปเดตไลบรารีอุปกรณ์ที่จําเป็นทั้งหมด

ผลิตภัณฑ์ที่เกี่ยวข้อง

บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

อุปกรณ์ที่ตั้งโปรแกรมได้ Intel®

เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้