ID บทความ: 000082231 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 29/05/2018

ทําไมการจําลอง modelsim*/Questasim* ของ Intel® Arria® 10 หรือ Intel® Cyclone® 10 GX Avalon®-MM DMA Interface สําหรับ PCI Express* เกิดปัญหาการเผยแพร่ X ในโมดูล Read Data Mover (altpcieav_dma_rd.sv)

สิ่งแวดล้อม

  • Intel® Quartus® Prime Pro Edition
  • Intel® Arria® 10 Cyclone® 10 Hard IP สำหรับ PCI Express*
  • PCI Express*
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    สาเหตุหลักของปัญหาการแพร่กระจาย X นี้คือ การสร้างอินสแตนซ์LPM_DEST_ADD_SUB_componentในโมดูล Read Data Mover (altpcieav_dma_rd.sv) ไม่มีพอร์ต .datab ที่ขยายเป็นศูนย์ไปยังความกว้างของเวกเตอร์สัญญาณทั้งหมดอย่างถูกต้อง  ดังนั้นโปรแกรมจําลองจะกําหนด X ให้กับบิตชั้นนําที่ไม่ได้กําหนดในที่อยู่ปลายทาง

    ดูการสร้างอินสแตนซ์LPM_DEST_ADD_SUB_componentด้านล่าง

    *********************************************************************************************************************************

    lpm_add_sub LPM_DEST_ADD_SUB_component (
    .clken (1'b1),
    .clock (Clk_i),
    .dataa (cur_dest_addr_reg),
                                    .datab ({rd_dw_size, 2'b00})
    .result (cur_dest_addr_adder_out)
    translate_offการรบกวน
    ,
    .aclr (),
    .add_sub (),
    .cin (),
    .cout (),
    .overflow ()
    translate_onการรบกวน
    );
    defparam
    LPM_DEST_ADD_SUB_component.lpm_direction = "ADD"
    LPM_DEST_ADD_SUB_component.lpm_hint = "ONE_INPUT_IS_CONSTANT=NO, CIN_USED=NO"
    LPM_DEST_ADD_SUB_component.lpm_pipeline = 1
    LPM_DEST_ADD_SUB_component.lpm_representation = "UNSIGNED"
    LPM_DEST_ADD_SUB_component.lpm_type = "LPM_ADD_SUB"
    LPM_DEST_ADD_SUB_component.lpm_width = RDDMA_AVL_ADDR_WIDTH;

    *********************************************************************************************************************************

    ความละเอียด

    การแก้ไขปัญหาคือการขยายเวกเตอร์สัญญาณอินพุตของ พอร์ต .datab อย่างเหมาะสมตามที่แสดงด้านล่าง

     

    .datab ({(RDDMA_AVL_ADDR_WIDTH-12){1'b0}, rd_dw_size, 2'b00}),

     

    ปัญหานี้ได้รับการกําหนดเวลาให้แก้ไขในเวอร์ชันในอนาคตของซอฟต์แวร์ Intel® Quartus® Prime

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 2 ผลิตภัณฑ์

    Intel® Arria® 10 FPGA และ SoC FPGA
    Intel® Cyclone® 10 GX FPGA

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้