ID บทความ: 000081769 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 25/09/2014

ทําไม SignalTap II Logic Analyzer จึงแสดงข้อความ 'ตั้งโปรแกรมอุปกรณ์เพื่อดําเนินการต่อ' แม้ว่าการออกแบบจะถูกคอมไพล์ด้วยไฟล์ SignalTap II (.stp) ล่าสุด

สิ่งแวดล้อม

  • Intel® Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    เนื่องจากปัญหาในซอฟต์แวร์ Quartus® II เวอร์ชั่น 14.0 ขึ้นไป คุณอาจเห็นข้อความ 'โปรแกรมอุปกรณ์เพื่อดําเนินการต่อ' และข้อความแสดงข้อผิดพลาดต่อไปนี้เมื่อคุณเรียกใช้งาน SignalTap™ II Logic Analyzer บนการออกแบบซึ่งรวบรวมด้วยไลบรารี SignalTap II เก่าที่อยู่ในโฟลเดอร์ ./megafunctions

    ข้อผิดพลาด (261009): ไม่สามารถเรียกใช้ SignalTap II Logic Analyzer ไฟล์ SignalTap II ไม่สามารถใช้งานได้กับไฟล์ที่ตั้งโปรแกรมไว้ในอุปกรณ์ของคุณ ค่า Checksum ความเข้ากันได้ที่คาดไว้คือ [SOF Checksum] ค่าที่อ่านจากอุปกรณ์ของคุณ0xA1B2C3D4

    ความละเอียด

    ในการแก้ไขปัญหานี้ ให้ลบโฟลเดอร์ ./megafunctions จากโครงการ Quartus II ของคุณเพื่อให้ซอฟต์แวร์ Quartus II ใช้เวอร์ชันที่ถูกต้องของไลบรารี SignalTap II

    ปัญหานี้ได้รับการกําหนดเวลาให้แก้ไขในซอฟต์แวร์ Quartus II ในอนาคต

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

    อุปกรณ์ที่ตั้งโปรแกรมได้ Intel®

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้