ID บทความ: 000081146 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 30/06/2014

ในระหว่างการระบุลักษณะเฉพาะ GPIO ซอฟต์แวร์ 13.0 Quartus II ให้ค่า RT ที่ไม่ถูกต้องสําหรับอุปกรณ์ Cyclone V และ Arria V

สิ่งแวดล้อม

  • Intel® Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    ปัญหาสำคัญ

    คำอธิบาย

    ในระหว่างการระบุลักษณะเฉพาะ I/O (GPIO) อเนกประสงค์สําหรับ Cyclone®อุปกรณ์ V และ Arria® V เป็นค่าเริ่มต้น RTadjust[3:0] ในซอฟต์แวร์ Quartus® II คือ 0000 ค่านี้ไม่มีให้ ค่า RT ที่ถูกต้องในช่วงที่ระบุ

    ความละเอียด

    ปัญหานี้ได้รับการแก้ไขในซอฟต์แวร์ 13.1 Quartus II รุ่น

    สําหรับ VCCIO ทั้งหมดในซอฟต์แวร์ 13.0 Quartus II ให้แก้ไข ค่า RTadjust[3:0] ที่ 1111 เพื่อให้ได้ความถูกต้องมากขึ้น ค่า RT สําหรับระดับ VCCIO ทั้งหมด ยกเว้น VCCIO 2.5V, RT=50ohm สำหรับ VCCIO 2.5V ปรับเปลี่ยน RTadjust[3:0] ค่าเป็น 0001 RTMULT และค่าเป็น 010 เพื่อลดรูปแบบขนาดใหญ่

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 2 ผลิตภัณฑ์

    Arria® V FPGA และ SoC FPGA
    Cyclone® V FPGA และ SoC FPGA

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้