ID บทความ: 000080833 ประเภทข้อมูล: ข้อความแสดงข้อผิดพลาด การตรวจสอบครั้งล่าสุด: 05/05/2021

คําเตือน (332174): ตัวกรองที่ละเลยที่ altera_pci_express.sdc(275): |altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_a10_hip_pllnphy:g_xcvr.altpcie_a10_hip_pllnphy|fpll_g1g2x1:g_pll.g_pll_g1g2x1.fpll_g1g2x1|altera_xcvr_fpll_a10:fpll_...

สิ่งแวดล้อม

  • Intel® Quartus® Prime Pro Edition
  • Intel® Arria® 10 Cyclone® 10 Hard IP สำหรับ PCI Express*
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    คําเตือนนี้สามารถละเลยได้ในการออกแบบที่ใช้โปรโตคอล PCI Express* ที่ต่ํากว่า Gen3

    คําเตือน (332174): ตัวกรองที่ละเลยที่ altera_pci_express.sdc(275): |altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_a10_hip_pllnphy:g_xcvr.altpcie_a10_hip_pllnphy|fpll_g1g2x1:g_pll. ไม่สามารถจับคู่ g_pll_g1g2x1.fpll_g1g2x1|altera_xcvr_fpll_a10:fpll_g1g2x1|tx_bonding_clocks[0] กับไฟล์นาฬิกา: altera_pcie_a10_hip_181/synth/altera_pci_express.sdc Line: 275

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 2 ผลิตภัณฑ์

    Intel® Cyclone® 10 GX FPGA
    Intel® Arria® 10 FPGA และ SoC FPGA

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้