ID บทความ: 000080611 ประเภทข้อมูล: ข้อความแสดงข้อผิดพลาด การตรวจสอบครั้งล่าสุด: 04/05/2018

ข้อผิดพลาดภายใน: ระบบย่อย: PTI, ไฟล์: /quartus/tsm/pti/pti_tdb_builder.cpp

สิ่งแวดล้อม

  • Intel® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    เนื่องจากปัญหาในซอฟต์แวร์ Intel® Quartus® Prime Pro Edition เวอร์ชั่น 17.1.2 และก่อนหน้านี้คุณอาจเห็นข้อผิดพลาดภายในนี้เมื่อมีไม่ตรงกันในลําดับชั้นของโครงการนักพัฒนาที่มีการคอมไพล์พาร์ติชันในขั้นต้นและลําดับชั้นของโครงการผู้บริโภคที่มีการใช้พาร์ติชันซ้ํา

    ตัวอย่างเช่น ข้อผิดพลาดภายในจะเกิดขึ้นในสถานการณ์ต่อไปนี้ในโครงการผู้บริโภคที่นําพาร์ติชันกลับมาใช้ใหม่:

    -พาร์ติชัน child_ptn1จะถูกรวบรวมและส่งออกจากโครงการนักพัฒนาพร้อมพาธลําดับชั้นเป็น inst1->inst2->child_ptn1

    -พาร์ติชันchild_ptn1ถูกนํามาใช้ใหม่ในโครงการผู้บริโภคที่มีพาธลําดับชั้นเป็น inst3->inst4->inst5->child_ptn1

     

    ความละเอียด

    เพื่อแก้ไขปัญหานี้ให้ตรงกับ ลําดับชั้นของโครงการนักพัฒนาที่มีการคอมไพล์และส่งออกพาร์ติชันเริ่มต้น ด้วยลําดับชั้นของโครงการผู้บริโภคที่มีการนําพาร์ติชันกลับมาใช้ใหม่

    ปัญหานี้ได้รับการกําหนดเวลาให้แก้ไขในเวอร์ชันในอนาคตของซอฟต์แวร์ Intel Quartus Prime Pro Edition

    ทําไมฉันจึงไม่สามารถคอมไพล์Intel® Stratix® 10 พาร์ติชันที่ส่งออกจากโครงการอื่นที่มีระดับสูงสุดอื่นได้

    ข้อผิดพลาดภายใน: ระบบย่อย: LALE, ไฟล์: /quartus/legality/lale/lale_new_solver.cpp

    ทําไมฉันจึงไม่สามารถวางIntel® Stratix® 10 พาร์ติชันที่ติดกับธนาคารผู้รับส่งสัญญาณ ส่งออก และนํากลับมาใช้ใหม่ในโครงการอื่นได้

    ทําไมฉันจึงไม่สามารถวางพาร์ติชัน Intel® Stratix® 10 พาร์ติชันที่ติดกับอินเทอร์เฟซ I/O Bank of EMIF/PHY Lite/LVDS ส่งออก และนํากลับมาใช้ใหม่ในโครงการอื่นได้

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

    อุปกรณ์ที่ตั้งโปรแกรมได้ Intel®

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้