ID บทความ: 000080275 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 13/08/2012

ทําไมหน่วยความจําของฉันจึงไม่ได้รับการเตรียมใช้งานอย่างถูกต้องในระหว่างการจําลองเมื่อใช้ไฟล์ .mif ในซอฟต์แวร์ ModelSim

สิ่งแวดล้อม

  • Intel® Quartus® II Subscription Edition
  • การจำลองแบบ
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    เนื่องจากปัญหาในซอฟต์แวร์ Mentor Graphics® ModelSim® ไฟล์เริ่มต้นของหน่วยความจําที่ผู้ใช้สร้าง ( .mif) ซึ่งใช้ [A0..A1] : D0 D1 ส่วนขยายอาจไม่สามารถเริ่มต้นได้อย่างถูกต้องในระหว่างการจําลอง ปัญหานี้มีผลต่อซอฟต์แวร์ ModelSim ทุกเวอร์ชัน รวมถึงซอฟต์แวร์ ModelSim SE และ ModelSim-Altera Edition ปัญหานี้ไม่มีผลต่อการสังเคราะห์

    การรับ[A0..A1] : D0 D1ส่งข้อมูลควรส่งผลให้มีการโหลดข้อมูลD0ไปยังสถานที่A0และข้อมูลที่D1โหลดลงในสถานที่A1 รูปแบบนี้จะถูกทําซ้ําสําหรับตําแหน่งที่อยู่ 2 ทุกตําแหน่งภายในหน่วยความจํา เนื่องจากปัญหานี้ ข้อมูลD0จะถูกโหลดลงในสถานที่ตั้งA0และA1

    ความละเอียด

    ในการหลีกเลี่ยงปัญหานี้ [A0..A1] : D0 D1 ควรระบุค่าข้อมูลสําหรับแต่ละที่อยู่แยกกัน

    ตัวแก้ไขหน่วยความจําในซอฟต์แวร์ Quartus® II ไม่ได้ใช้เครื่องมือ [A0..A1] : D0 D1 แก้ไขและสามารถใช้เพื่อเปลี่ยนรูปแบบหรือสร้าง .mif ได้ ตัวแก้ไขหน่วยความจําใช้ A0 : D0 เครื่องมือตรวจสอบเพื่อระบุเนื้อหาหน่วยความจําสําหรับแต่ละที่อยู่แยกกัน

    ค้นหาข้อมูลเพิ่มเติมเกี่ยวกับรูปแบบ .mif ได้โดยค้นหา "ไฟล์เริ่มต้นหน่วยความจํา" ใน วิธีใช้ Quartus II

    ปัญหานี้ได้รับการกําหนดเวลาให้แก้ไขในการเปิดตัวซอฟต์แวร์ ModelSim ทุกเวอร์ชันในอนาคต

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

    อุปกรณ์ที่ตั้งโปรแกรมได้ Intel®

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้