ID บทความ: 000078402 ประเภทข้อมูล: ข้อมูลผลิตภัณฑ์และเอกสารประกอบ การตรวจสอบครั้งล่าสุด: 11/09/2012

ฉันจะกําหนดนาฬิกาเอาต์พุต PLL ไปยังเครือข่ายนาฬิกาทั่วโลกได้อย่างไร

สิ่งแวดล้อม

  • ซอฟต์แวร์การออกแบบ Intel® Quartus® Prime
  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    คุณสามารถกําหนดนาฬิกาเอาต์พุต PLL ไปยังเครือข่ายนาฬิกาทั่วโลกโดยใช้ Intel® Quartus® Prime Software Assignment Editor หรือกําหนดในไฟล์ .qsf ของคุณ

    ความละเอียด

    ทําตามขั้นตอนด้านล่างเพื่อมอบหมาย: ในตัวแก้ไขการมอบหมาย:

    1) สร้างการมอบหมายใหม่ในตัวแก้ไขการมอบหมาย แล้วตั้งค่า:

    • ชื่อการมอบหมาย = สัญญาณทั่วโลก
    • ค่า = นาฬิกาทั่วโลก
    • เปิดใช้งาน =ใช่
    • เมื่อต้องการ = <node name> (เช่น ใช้ตัวค้นหาโหนดเพื่อค้นหาชื่อโหนดของนาฬิกาเอาต์พุต PLL เช่น "pll1:inst|altpll:altpll_component|pll1_altpll:auto_generated|wire_pll1_clk[0]")

    2) รายการการมอบหมายจะถูกอัปเดตหลังจากสร้างการมอบหมาย

    3)คอมไพล์การออกแบบใหม่ของ

    4) หลังจากคอมไพล์ ตรวจสอบงานของคุณโดยดูรายงาน "สัญญาณทั่วโลกและสัญญาณเร็วอื่นๆ" ใน Quartus® Prime Standard ภายใต้ส่วนทรัพยากร> Fitter > สัญญาณที่รวดเร็วอื่นๆ ใน Quartus® Prime Pro ภายใต้ Fitter > Plan Stage > Global และสัญญาณที่รวดเร็วอื่นๆ คุณจะเห็นว่าขณะนี้นาฬิกาเอาต์พุตเชื่อมต่อกับนาฬิกาทั่วโลกแล้ว

    เมื่อป้อนงานมอบหมายในไฟล์ .qsf ให้ทําตามขั้นตอนเหล่านี้:

    1) เปิดไฟล์ .qsf และเพิ่มรายการต่อไปนี้:

    set_instance_assignment -name GLOBAL_SIGNAL "GLOBAL CLOCK" -to "<node name>"

    2)คอมไพล์การออกแบบใหม่ของ

    3) หลังจากคอมไพล์ ตรวจสอบงานของคุณโดยดูรายงาน "สัญญาณทั่วโลกและสัญญาณเร็วอื่นๆ" ภายใต้มาตรฐาน Quartus® Prime ภายใต้ส่วน Fitter > Resource > Global และสัญญาณเร็วอื่นๆ ใน Quartus® Prime Pro ภายใต้ Fitter > Plan Stage >สัญญาณที่รวดเร็วอื่นๆ คุณจะเห็นว่าขณะนี้นาฬิกาเอาต์พุตเชื่อมต่อกับนาฬิกาทั่วโลกแล้ว

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

    อุปกรณ์ที่ตั้งโปรแกรมได้ Intel®

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้