ID บทความ: 000077257 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 11/09/2012

ทําไมซอฟต์แวร์ Quartus II ไม่สามารถวางเอาต์พุต PLL ทั้งหมดของฉันเมื่อฉันใช้ตัวเลือกการกําหนดค่าใหม่แบบไดนามิก

สิ่งแวดล้อม

  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย ปัญหานี้อาจเกิดขึ้นเมื่อใช้ตัวเลือกการกําหนดค่า PLL ใหม่ใน Quartus®ซอฟต์แวร์ II และคุณจะได้รับข้อผิดพลาดที่ไม่พอดี เมื่อคุณสร้างอินสแตนซ์ altpll โดยไม่มีตัวเลือกการกําหนดค่าใหม่แบบไดนามิก Quartus II Fitter อาจใช้ตัวนับ PLL เพื่อปรับปรุงความสามารถในการกําหนดเส้นทาง เมื่อใช้การกําหนดค่า PLL ใหม่ Quartus II ไม่ได้ใช้ตัวนับและแทนที่ PLL MegaWizard จะตรงกับคําสั่งซื้อนั้นโดยการเปิดตัวเลือกตรรกะ "Preserve Counter Order" โดยอัตโนมัติ

    ตัวเลือกลอจิก "Preserve Counter Order" ช่วยให้ Quartus II สามารถแปลงเอาต์พุตตัวนับให้ตรงตามข้อกําหนดที่เป็นไปได้ของการออกแบบ ตัวอย่างเช่น เมื่อไม่ได้ใช้ "Preserve Counter Order" นาฬิกาที่วางไว้บน C0 ในตัวช่วยสร้างอาจถูกปรับให้เป็น C2 ระหว่างขั้นตอนการคอมไพล์ที่เหมาะสมเพื่อเวียนส่งการออกแบบให้เสร็จสมบูรณ์

    วิธีแก้ปัญหาที่ดีที่สุดสําหรับปัญหาที่ไม่พอดีนี้คือการคอมไพล์การออกแบบก่อนโดยไม่ได้เปิดใช้งานคุณสมบัติการกําหนดค่า PLL ใหม่ จากนั้นเมื่อมีการกําหนดคําสั่งซื้อเคาน์เตอร์ที่ดีที่สุดแล้ว ให้แก้ไข PLL ให้ตรงกับคําสั่งซื้อนั้น (ตามที่ระบุไว้ในรายงานการใช้งาน PLL) แล้วเปิดใช้งานคุณสมบัติการกําหนดค่า PLL ใหม่ Quartus II จะรักษาคําสั่งซื้อเคาน์เตอร์นี้เนื่องจากเปิดใช้งานการกําหนดค่าใหม่อยู่ และคุณจะประสบความสําเร็จในการออกแบบของคุณ

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

    Stratix® II FPGA

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้