ID บทความ: 000076875 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 03/04/2019

ทําไมโมดูล Pixel Clock Recovery (PCR) ในตัวอย่างการออกแบบ DisplayPort Intel® FPGA IP Core จึงล้มเหลวที่อุณหภูมิสูง

สิ่งแวดล้อม

  • Intel® Quartus® Prime Pro Edition
  • IP เอฟพีจีเอ Intel® DisplayPort*
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

     

    คุณอาจเห็น IP Altera® PLL ใน IP การกู้คืนสัญญาณนาฬิกาพิกเซลของหีบห่อสูญเสียการล็อกหลังจากการกําหนดค่าใหม่แบบไดนามิกเมื่ออุปกรณ์ FPGAเพิ่มขึ้นสูงกว่าอุณหภูมิห้อง ในกรณีนี้ จะมีการระบุสัญญาณreset_outของ IP การกู้คืนนาฬิกาพิกเซลของหีบหวีดและไม่ได้ดูวิดีโอที่จอภาพ เมื่ออุปกรณ์FPGAเย็นลง วิดีโอที่จอมอนิเตอร์จะกลับมาทํางาน Altera IP PLL จะกลับสู่การล็อกและ reset_out IP การกู้คืนสัญญาณนาฬิกาแบบพิกเซลของวันบาสเซอร์จะไม่ได้รับการยืนยันสัญญาณ  สาเหตุหลักของปัญหาคือการตั้งค่า PLL VCO post divider ไม่ถูกต้องซึ่งกําหนดค่าโดย IP การกู้คืนสัญญาณนาฬิกาพิกเซลของตัวย่อ การตั้งค่าที่ไม่ถูกต้องทําให้ความถี่ VCO เกินช่วงตามกฎหมายตามที่ระบุไว้ในเอกสารข้อมูลอุปกรณ์

    ความละเอียด

    มีโปรแกรมแก้ไขเพื่อแก้ไขปัญหานี้สําหรับซอฟต์แวร์ Intel® Quartus® Prime Standard Edition เวอร์ชั่น 17.1 และสําหรับซอฟต์แวร์ Intel® Quartus® Prime Standard Edition เวอร์ชัน 17.1 Update 1 จากลิงก์ที่เหมาะสมด้านล่าง:

    สําหรับ v17.1:

    ดาวน์โหลดโปรแกรมแก้ไข 0.16std สําหรับ Windows (.exe)

    ดาวน์โหลดโปรแกรมปรับปรุง 0.16std สําหรับ Linux (.run)

    ดาวน์โหลดโปรแกรมแก้ไข Readme 0.16std (.txt)

    สําหรับ v17.1.1

    ดาวน์โหลดโปรแกรมแก้ไข 1.19std สําหรับ Windows (.exe)

    ดาวน์โหลดโปรแกรมปรับปรุง 1.19std สําหรับ Linux (.run)

    ดาวน์โหลดโปรแกรมแก้ไข Readme 1.19std (.txt)

     

    ปัญหานี้เริ่มขึ้นแล้วในซอฟต์แวร์ Intel® Quartus® Prime Standard Edition เวอร์ชั่น 19.1

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 3 ผลิตภัณฑ์

    Cyclone® V FPGA และ SoC FPGA
    Arria® V FPGA และ SoC FPGA
    Stratix® V FPGA

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้