ID บทความ: 000076732 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 06/11/2020

ทําไมตัวแปร VHDL ของ eCPRI Intel® FPGA IPไม่สามารถจําลองใน Synopsys VCS MX®

สิ่งแวดล้อม

  • Intel® Quartus® Prime Pro Edition
  • Intel® CPRI
  • CPRI
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    ปัญหาสำคัญ

    คำอธิบาย

    เนื่องจากปัญหาในซอฟต์แวร์ Intel® Quartus® Prime เวอร์ชั่น 20.1 และใหม่กว่า เมื่อใช้ตัวแปร VHDL ของIntel® FPGA IP eCPRI ใน Synopsys VCS MX* คอมไพเลอร์ของโปรแกรมจําลองจะแจ้งข้อผิดพลาดที่ระบุว่ามีประเภทความไม่สอดคล้องกันของพารามิเตอร์ "ADV_MAP"

    ความละเอียด

    หากต้องการแก้ไขปัญหานี้ในเวอร์ชัน Intel® Quartus® Prime 20.1 และใหม่กว่า ให้ทําตามขั้นตอนด้านล่าง:

     

    1) ค้นหาไฟล์ห่อหุ้มระดับสูงสุดที่สร้างขึ้นจากIntel® FPGA IP eCPRI ของคุณที่นี่:

           /ซิม


     

    2) เปลี่ยนสตริง "ON" หรือ "OFF" ทุกตัวที่มอบหมายให้กับพารามิเตอร์ "ADV_MAP" เป็นจํานวนเต็ม 1 หรือ 0 ตามลําดับ ดังที่แสดงด้านล่าง:

     

    จาก

    ADV_MAP : สตริง := "ON";

     

    ถึง

    ADV_MAP : จํานวนเต็ม := 1;

     

    จาก

    ADV_MAP => "OFF"

     

    ถึง

    ADV_MAP => 0

     

    ปัญหานี้แก้ไขได้ด้วยซอฟต์แวร์ Intel® Quartus® Prime เวอร์ชั่น 20.3

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 2 ผลิตภัณฑ์

    Intel® Stratix® 10 FPGA และ SoC FPGA
    Intel® Arria® 10 FPGA และ SoC FPGA

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้