ID บทความ: 000076422 ประเภทข้อมูล: ข้อความแสดงข้อผิดพลาด การตรวจสอบครั้งล่าสุด: 20/05/2020

ข้อผิดพลาด: ข้อผิดพลาด VHDL ที่ auk_dspip_roundsat_hpfir.vhd(103): ค่า "4294967295" อยู่นอกช่วงข้อจํากัดเป้าหมาย (-2147483848 ไปยัง 2147483647)

สิ่งแวดล้อม

  • Intel® Quartus® Prime Pro Edition
  • IP เอฟพีจีเอ Intel® FIR II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    เนื่องจากปัญหาในซอฟต์แวร์ Intel® Quartus® Prime Pro Edition เวอร์ชั่น 19.2 สามารถดูข้อผิดพลาดข้างต้นได้เมื่อความกว้างเอาต์พุตของIntel® FPGA IP FIR II มีมากกว่าหรือเท่ากับ 32 บิตในโหมดการปัดเศษ

    ความละเอียด

    หากต้องการแก้ไขข้อผิดพลาดนี้ในซอฟต์แวร์ที่มีอยู่ ให้ตั้งค่าพารามิเตอร์ IP "Output LSB Rounding" เป็น "การตัดทอน" หรือยังคงใช้ "การปัดเศษ" แต่ตรวจสอบให้แน่ใจว่าความกว้างของเอาต์พุตมีขนาดเล็กกว่า 32 บิต

    ปัญหานี้ได้รับการแก้ไขแล้วเริ่มต้นด้วยซอฟต์แวร์ Intel® Quartus® Prime Pro Edition เวอร์ชั่น 20.1

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 4 ผลิตภัณฑ์

    Intel® Arria® 10 FPGA และ SoC FPGA
    Intel® Stratix® 10 FPGA และ SoC FPGA
    Intel® Cyclone® 10 FPGA
    เอฟพีจีเอและเอฟพีจีเอ SoC Intel® Agilex™ 7

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้