ID บทความ: 000076219 ประเภทข้อมูล: ข้อความแสดงข้อผิดพลาด การตรวจสอบครั้งล่าสุด: 20/05/2013

ข้อผิดพลาดภายใน: ระบบย่อย: FSAC, ไฟล์: /quartus/fitter/fsac/fsac_clkbuf_fix_atom_netlist.cpp, บรรทัด: 1698

สิ่งแวดล้อม

  • Intel® Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    เนื่องจากปัญหาในซอฟต์แวร์ Quartus II เวอร์ชัน 12.1 SP1 คุณอาจเห็นข้อผิดพลาดภายในนี้ระหว่าง Fitter

    ความละเอียด

    มีโปรแกรมแก้ไขเพื่อแก้ไขปัญหานี้ในซอฟต์แวร์ Quartus II เวอร์ชั่น 12.1 SP1 คุณต้องใช้โปรแกรมแก้ไข 1.dp6 จากโซลูชันที่เกี่ยวข้องด้านล่างก่อนใช้โปรแกรมแก้ไขนี้ ดาวน์โหลดและติดตั้งโปรแกรมแก้ไข 1.dp6p จากลิงก์ที่เหมาะสมด้านล่าง:

    ปัญหานี้ได้รับการแก้ไขเริ่มต้นด้วยซอฟต์แวร์ Quartus II เวอร์ชั่น 13.0

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

    อุปกรณ์ที่ตั้งโปรแกรมได้ Intel®

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้