ID บทความ: 000075949 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 25/06/2013

10528 VHDL error ที่ชื่อส่วนประกอบ <>{}: ค่า 0 อยู่นอกช่วงข้อจํากัดเป้าหมาย (1 ถึง 2147483647)

สิ่งแวดล้อม

  • Intel® Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    ข้อผิดพลาดนี้อาจพบได้ในระหว่างการสังเคราะห์ระบบ Qsys ที่สร้างขึ้น VHDL เนื่องจากปัญหาในซอฟต์แวร์ Quartus® II เวอร์ชั่น 13.0   ตัวเขียน HDL เผยแพร่ประเภทข้อมูล "บวก" ที่ไม่ถูกต้องทําให้ไม่สามารถประกาศส่วนประกอบ VHDL ได้

    ความละเอียด

    วิธีแก้ไขปัญหานี้ในซอฟต์แวร์ Quartus II เวอร์ชั่น 13.0:
    - เลือก Verilog สําหรับการสังเคราะห์ใน Qsys GUI

    หรือ

    - แก้ไขชื่อส่วนประกอบ<>_hw.tcl สําหรับ IP ที่ได้รับผลกระทบในเครื่องมือแก้ไขข้อความและเปลี่ยนประเภทพารามิเตอร์จาก "positive" เป็นจํานวนเต็ม"

    ขณะนี้ปัญหานี้ได้รับการกําหนดเวลาให้แก้ไขสําหรับซอฟต์แวร์ Quartus II เวอร์ชันในอนาคต

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

    อุปกรณ์ที่ตั้งโปรแกรมได้ Intel®

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้