ID บทความ: 000075915 ประเภทข้อมูล: ข้อความแสดงข้อผิดพลาด การตรวจสอบครั้งล่าสุด: 11/09/2012

ข้อผิดพลาด (10119): ข้อผิดพลาด Verilog HDL Loop Statement ที่ <location>: ลูปที่มีสภาพลูปไม่คงที่ต้องสิ้นสุดภายใน <number> เกิด ซ้ำ</number></location>

สิ่งแวดล้อม

BUILT IN - ARTICLE INTRO SECOND COMPONENT
คำอธิบาย

ข้อผิดพลาดนี้อาจปรากฏในซอฟต์แวร์ Quartus® II เมื่อทําการสังเคราะห์ซ้ําผ่านลูปใน Verilog HDL นานกว่าขีดจํากัดลูปการสังเคราะห์ ขีดจํากัดนี้ป้องกันไม่ให้การสังเคราะห์ทํางานในลูปที่ไม่จํากัด ตามค่าเริ่มต้น ขีดจํากัดลูปนี้จะถูกตั้งค่าเป็น 250 การซ้ํา

ความละเอียด

ในการแก้ไขปัญหาข้อผิดพลาดนี้ สามารถตั้งค่าขีดจํากัดลูปได้โดยใช้ VERILOG_NON_CONSTANT_LOOP_LIMIT ตัวเลือกในไฟล์การตั้งค่า Quartus II (.qsf) ตัวอย่างเช่น:

set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 300

ผลิตภัณฑ์ที่เกี่ยวข้อง

บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

อุปกรณ์ที่ตั้งโปรแกรมได้ Intel®

เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้