ID บทความ: 000075697 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 11/09/2012

ทําไมฉันจึงเห็นข้อความ "การเชื่อมต่อระหว่าง avalon_master.writedata และ avl.avl_wdataต้องเป็นความกว้าง [8,16,32,64,128,256,512,1024] ด้วย DDR2(3) SDRAM Controller พร้อม UniPHY

สิ่งแวดล้อม

BUILT IN - ARTICLE INTRO SECOND COMPONENT
คำอธิบาย

หากคอนโทรลเลอร์ DDR2(3) SDRAM ที่ใช้ UniPHY ใน Qsys ถูกกําหนดค่าไม่ให้ใช้กําลังไฟ 2 Avalon® บัสข้อมูล สามารถเชื่อมต่อได้เพียงการเชื่อมต่อเดียวระหว่างอินเทอร์เฟซหลักและอินเทอร์เฟซ Slave บนคอนโทรลเลอร์ DDR2(3) คุณจะเห็นข้อความข้างต้นหากคุณเชื่อมต่อ 2 มาสเตอร์กับคอนโทรลเลอร์ DDR2(3) SDRAM

หากจําเป็นต้องมีการเชื่อมต่อหลายรายการกับอินเทอร์เฟซ Avalon Slave ในคอนโทรลเลอร์ DDR2(3) ให้เพิ่มขนาดของสัญญาณการเขียนและอ่านข้อมูลของมาเธอร์เพื่อมอบขุมพลังที่มากขึ้นของ 2 และใช้ปะเก็นบัสทั่วไป (ส่วนประกอบแบบกําหนดเอง) ระหว่างการเชื่อมต่อหลักและอินเทอร์เฟซสเลฟบนคอนโทรลเลอร์ DDR2(3) ปะเก็นจะส่งผ่านสัญญาณทั้งหมดยกเว้นการเขียนและอ่านข้อมูล

สําหรับข้อมูลการเขียน ปะเก็นจะผ่านจํานวนบิตข้อมูลที่ต้องการเท่านั้น ตัวอย่างเช่น หากอินเทอร์เฟซหน่วยความจําที่ต้องการคือ 72 บิต ความกว้างของข้อมูลคอนโทรลเลอร์ครึ่งอัตราจะเป็น 288 เจ้านายจะปัดเศษบัสข้อมูลเป็น 512 และ pad ข้อมูลการเขียนด้วย 224 's และปะเก็นจะผ่าน 288 บิตที่จําเป็น

-- ส่งบิตข้อมูลที่ต้องการไปยัง DDR ผ่านอินเทอร์เฟซหลักของปะเก็น

avm_m0_writedata <= avs_s0_writedata(287 ลง 0);

สําหรับข้อมูลการอ่าน ปะเก็นจะติดอันดับ 288 บิตด้วย '0'

-- สร้างค่าคงที่แผ่น

PAD_DATAคงที่ : std_logic_vector(287 downto 0) := (คนอื่นๆ => '0');

-- ส่งข้อมูลการอ่านไปยังเจ้านายผ่านอินเทอร์เฟซ Slave ของปะเก็น

avs_s0_readdata <= PAD_DATA & avm_m0_readdata

 

ผลิตภัณฑ์ที่เกี่ยวข้อง

บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

อุปกรณ์ที่ตั้งโปรแกรมได้ Intel®

เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้