ID บทความ: 000075648 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 11/09/2012

ทําไมฉันจึงได้รับการละเมิดค่า pulsewidth ขั้นต่ําเมื่อใช้ALTTEMP_SENSEการทํางานร่วมกันกับปัจจัยการแบ่งเป็น 80 ตัว

สิ่งแวดล้อม

  • Intel® Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    เมื่อใช้ALTTEMP_SENSEเมกะฟังก์ชัน คุณต้องตรวจสอบให้แน่ใจว่านาฬิกาที่ใช้กับ IP มีค่าน้อยกว่าหรือเท่ากับ 1.0MHz  เมื่อใช้นาฬิกาความถี่สูง เมกะการทํางานช่วยให้คุณสามารถเลือกหารด้วย 40 หรือหารด้วย 80 แฟคเตอร์เพื่อลดความถี่นาฬิกาให้น้อยกว่าหรือเท่ากับ 1.0MHz

    อย่างไรก็ตาม ตัววิเคราะห์เวลาของ TimeQuest อาจออกการละเมิด pulsewidth ขั้นต่ําเมื่อใช้ตัวแบ่งสัญญาณนาฬิกาที่ 80  เมื่อมีการใช้ปัจจัยการหารตัวใดตัวหนึ่ง ตัววิเคราะห์เวลาของ TimeQuest จะวิเคราะห์สัญญาณนาฬิกาสําหรับการหารด้วยการเลือก 40 ตัวเท่านั้น  ดังนั้นหากคุณมีสัญญาณนาฬิกาอินพุตที่มากกว่า 40MHz และเลือกตัวเลือกหารด้วย 80 ตัวตัววิเคราะห์เวลา TimeQuest จะคํานวณความถี่สัญญาณนาฬิกาอินพุตไปยังALTTEMP_SENSEเมกะฟังก์ชันจะมากกว่า 1.0MHz

    ความละเอียด

    คุณสามารถเพิ่มข้อจํากัดการออกแบบ Synopsys (SDC) เพื่อแทนที่การคํานวณความถี่สัญญาณนาฬิกาที่ไม่ถูกต้อง  ข้อจํากัดของตัวอย่างต่อไปนี้อิงตามเอาต์พุต CLK0 จาก ALTPLL Megafunction (inst3) ที่ขับเคลื่อนพอร์ตอินพุต CLK ของฟังก์ชัน ALTTEMP_SENSE (inst5)

    create_generated_clock -ชื่อ {inst5|tsdadc_alttemp_sense_vps_component|sd1|clk} \
    -source [get_pins {inst3|altpll_component|auto_generated|pll1|clk[0]}] \
    -divide_by 80 -multiply_by 1 -duty_cycle 50.00 \
    [get_pins { inst5|tsdadc_alttemp_sense_vps_component|sd1|clk } ]

    ซึ่งจะได้รับการแก้ไขในซอฟต์แวร์ Quartus® II เวอร์ชันในอนาคต

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 11 ผลิตภัณฑ์

    Arria® V ST SoC FPGA
    Arria® V GT FPGA
    Arria® V GX FPGA
    Stratix® V E FPGA
    Stratix® V GS FPGA
    Arria® V SX SoC FPGA
    Stratix® V GT FPGA
    Stratix® V GX FPGA
    Stratix® IV GT FPGA
    Stratix® IV E FPGA
    Stratix® IV GX FPGA

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้