ID บทความ: 000092178 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 29/11/2023

เฟิร์มแวร์อุปกรณ์ล่าสุดที่มีให้สําหรับซอฟต์แวร์ Intel® Quartus® Prime Pro Edition เวอร์ชัน 22.2 คืออะไร

สิ่งแวดล้อม

  • Intel® Quartus® Prime Pro Edition
  • โปรแกรมเมอร์และเครื่องมือ Intel® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    ปัญหาสำคัญ

    คำอธิบาย

    เฟิร์มแวร์อุปกรณ์ล่าสุดสําหรับซอฟต์แวร์ Intel® Quartus® Prime Pro Edition เวอร์ชัน 22.2 สามารถดาวน์โหลดได้จากลิงก์ต่อไปนี้

    การแก้ไขสําหรับปัญหาต่อไปนี้จะรวมอยู่ในรุ่นล่าสุด (การเปิดตัวใหม่ล่าสุดมีการแก้ไขก่อนหน้านี้ทั้งหมดและจะแทนที่เฟิร์มแวร์ของอุปกรณ์รุ่นก่อนหน้านี้)

    เปลี่ยนล็อก

    เฟิร์มแวร์เวอร์ชัน 0.09fw:

    - Intel Agilex® FPGA การอัปเดต CvP ล้มเหลวหลังจากเข้าถึง QSPI ผ่านทาง Mailbox Client IP

    - ความถี่และรอบการทํางาน PMBus ไม่ถูกต้องในรอบการกําหนดค่าแรกหลังจากเปิดเครื่อง และการทํางาน PCIe/Ethernet ล้มเหลวหลังจากการกําหนดค่าใหม่

    เวอร์ชั่นเฟิร์มแวร์ 0.11fw:

    - ข้อผิดพลาดในการทํางาน M20K ในส่วนการกําหนดค่าใหม่บางส่วน ซึ่งพบได้หลังจากการกําหนดค่าใหม่บางส่วนบนพอร์ตโฟลิโอ Intel Agilex FPGA
    - HPS boot instability เนื่องจากปิดการใช้งานฟังก์ชั่นการซ่อมแซม HPS RAM อย่างผิดพลาด

    เฟิร์มแวร์เวอร์ชัน 0.16fw:

    - ในระหว่าง Intel Agilex โหมดการแยก 2x8 อุปกรณ์ refclk1 จะไม่ตั้งค่าล่วงหน้าทําให้ PCIe0 ไม่สามารถระบุได้หลังจากรีบูต

    เวอร์ชั่นเฟิร์มแวร์ 0.28fw:

    - ข้อผิดพลาด SHA ที่ไม่ตรงกันและการกําหนดค่า AVSTx8 ล้มเหลวเมื่อใช้บิตสตรีมการกําหนดค่าที่เข้ารหัส

    - ตัวเขียนโปรแกรม Quartus รองรับการโหลดเฟิร์มแวร์การเตรียมใช้งานผ่าน AVSTx8 โดยใช้ PFL-II IP

    เวอร์ชั่นเฟิร์มแวร์ 0.29fw:

    - อาจเกิดความล้มเหลวในการทํางาน M20K หลังจากการกําหนดค่าใหม่บางส่วนบน Intel Agilex FPGAs

    - ข้อผิดพลาดภายในที่ Fitter อาจพบบนอุปกรณ์ Intel Agilex: ข้อผิดพลาดภายใน: ระบบย่อย: U2B2_CDB, ไฟล์: /quartus/db/u2b2/u2b2_re_network_checker.cpp, บรรทัด: 174

    เวอร์ชั่นเฟิร์มแวร์ 0.34fw:

    - ลิงก์ F-Tile Avalon-ST PCI Express จะติดอยู่ในการฝึกอบรมลิงก์เป็นระยะ ๆ เมื่อใช้รูปแบบการกําหนดค่า AVSTx8 หรือ ASx4

    เวอร์ชั่นเฟิร์มแวร์ 0.39fw:

    - แพทช์นี้แก้ไขข้อบกพร่องของเฟิร์มแวร์ SDM ที่เมื่อมีการใช้ข้อมูลซ่อมแซม RAM ของ Sub-System Manager (SSM) ไม่ถูกต้อง RAM นี้ไม่สามารถเข้าถึงได้สําหรับผู้ใช้ Intel Agilex FPGA OPN ที่ได้รับผลกระทบคือ: AGF019, AGF023, AGI019, AGI023

    เฟิร์มแวร์เวอร์ชัน 0.45fw:

    - แก้ไขข้อบกพร่องที่อาจทําให้เกิดการกําหนดค่าใหม่บางส่วนแบบสุ่ม (PR) ล้มเหลวหลังจากการหยุดชะงักของ PR โดยการรีเซ็ต LSM หลังจากการหยุดชะงัก

    - แก้ไขปัญหาที่ RSU ไม่สามารถกู้คืนได้หลังจากใช้ภาพแอปพลิเคชันอุปกรณ์ที่ไม่ถูกต้อง

    เฟิร์มแวร์เวอร์ชัน 0.50fw:

    - Quartus Programmer และการแก้ไขเฟิร์มแวร์เพื่อใช้การซ่อมแซม M20K กับ pr ตามลําดับขั้น PR ที่เลือกตามความสัมพันธ์ของผู้ปกครอง/ลูกและพื้นที่คงที่และ PR ข้อบกพร่องส่งผลกระทบต่อการกําหนดค่าใหม่ PR เท่านั้น ไม่ส่งผลกระทบต่อโฟลว์ที่ไม่ใช่ PR ส่งผลกระทบต่อ Intel Agilex FPGA ครอบครัวทั้งหมด

    - ปัญหา FW ของเซกเตอร์ LSM (โหมด 1) - แก้ไขข้อบกพร่องของเฟิร์มแวร์เพื่อล้างรีจิสเตอร์การซ่อมแซม M20K ก่อนที่จะโหลดข้อมูลฟิวส์การซ่อมแซม M20K ข้อบกพร่องนี้อาจก่อให้เกิดความล้มเหลวระหว่างรอบการกําหนดค่าใหม่บางส่วนหรือทั้งหมดบน Intel Agilex FPGAs

    - PR (FW เสียหายข้อมูล CRAM ระหว่างการเขียนโปรแกรม PR persona) - การแก้ไขข้อบกพร่องของเฟิร์มแวร์เพื่อให้แน่ใจว่ามีการใช้การอัปเดตแถวบัฟเฟอร์บรรทัดข้อมูลกับแถวที่ถูกต้อง ข้อบกพร่องนี้อาจก่อให้เกิดความล้มเหลวระหว่างรอบการกําหนดค่าใหม่บางส่วนหรือทั้งหมดบน Intel Agilex FPGAs

    - ปิดใช้งานคุณสมบัติ SDOS

    โปรดดูรายการต่อไปนี้:

    - อัปเดตเฟิร์มแวร์ SDM ในคู่มือผู้ใช้การกําหนดค่า Intel Agilex FPGA

    - อัปเดตเฟิร์มแวร์ SDM ในคู่มือผู้ใช้การกําหนดค่า Intel® Stratix® 10 FPGA

    ความละเอียด

    ดาวน์โหลด Device Firmwar e ล่าสุดจากลิงก์ต่อไปนี้:

    หมาย เหตุ:

    • โปรแกรมแก้ไขต้องนําไปใช้กับซอฟต์แวร์ Intel® Quartus® Prime Pro Edition และโปรแกรมเมอร์และเครื่องมือซอฟต์แวร์ Intel® Quartus® Prime Pro Edition
    • ไม่จําเป็นต้องคอมไพล์ใหม่
    • แฟ้มการเขียนโปรแกรมทั้งหมดควรถูกสร้างขึ้นใหม่
      • เรียกใช้การสร้างไฟล์การเขียนโปรแกรมหรือการแปลงอีกครั้งโดยใช้ตัวสร้างไฟล์การเขียนโปรแกรมซอฟต์แวร์ Intel® Quartus® Prime

    ปัญหานี้ถูกกําหนดให้ได้รับการแก้ไขในรุ่นอนาคตของซอฟต์แวร์ Intel® Quartus® Prime Pro Edition

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 2 ผลิตภัณฑ์

    เอฟพีจีเอและเอฟพีจีเอ SoC Intel® Agilex™ 7
    Intel® Stratix® 10 FPGA และ SoC FPGA

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้