ID บทความ: 000086541 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 18/12/2018

ทําไมฉันจึงเห็นปริมาณงานหน่วยความจําที่ไม่สมดุลระหว่าง 2 คอร์ CPU ใน Cyclone® V SoC, Arria® V SoC และArria® 10 SoC FPGAs"

สิ่งแวดล้อม

  • Intel® Quartus® II Subscription Edition
  • IP เอฟพีจีเอ Intel® ระบบโปรเซสเซอร์ Hard Arria® V Cyclone® V
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    ทรูพุตการเข้าถึงหน่วยความจําระหว่าง Core 0 และ Core 1 ไม่สมดุลภายใต้เงื่อนไขต่อไปนี้:

    • CPU0 และ CPU1 กําลังเข้าถึงระบบย่อยของหน่วยความจําในเวลาเดียวกัน
    • อัตรารับส่งข้อมูลหน่วยความจํารวมที่ร้องขอโดยคอร์ทั้งสองเกินความจุระบบย่อยของหน่วยความจํา
    • ไม่ได้ใช้พอร์ตร่วมในการเร่งความเร็ว (ACP) หรือกําลังใช้งานกับการรับส่งข้อมูลที่ใช้แบนด์วิดธ์ต่ํา

    หน่วยควบคุม Snoop ของระบบย่อยของ CPU จะเปรียบเทียบคําขอจากมาสเตอร์สามรุ่น ได้แก่ CPU0, CPU1 และ ACP ซึ่งอิงตามอัลกอริธึมแบบโรบินแบบกลม ทําให้มั่นใจได้ว่าจะกระจายแบนด์วิดท์หน่วยความจําที่มีอยู่ได้อย่างเป็นธรรม

    อย่างไรก็ตาม, เมื่อเงื่อนไขข้างต้นทั้งหมดเกิดขึ้น, ความเป็นธรรมในการอนุญาโตตุลาการหลัก SCU จะลดลง, เนื่องจากส่วนแบ่งอนุญาโตตุลาการ ACP ที่ไม่ได้ใช้จะถูกกําหนดใหม่ให้กับ CPU0, ส่งผลให้ CPU0 ได้รับแบนด์วิดท์หน่วยความจําเพิ่มขึ้นสองเท่าของแบนด์วิดท์หน่วยความจําของ CPU1

    ความละเอียด

    หากจําเป็นต้องมีปริมาณการรับส่งข้อมูลหน่วยความจําระหว่างคอร์ 0 และ Core 1 ที่สมดุล แอปพลิเคชันที่ทํางานบนคอร์ 0 จะต้องได้รับการออกแบบมาเพื่อป้องกันไม่ให้ใช้งานแบนด์วิดท์หน่วยความจําที่มีอยู่มากกว่า 50%

    ข้อมูลนี้มีกําหนดที่จะรวมไว้ใน Cyclone® V SoC รุ่นใหม่ในอนาคต Arria® V SoC และIntel® Arria® 10 SoC FPGAคู่มืออ้างอิงทางเทคนิค

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 7 ผลิตภัณฑ์

    Cyclone® V ST SoC FPGA
    Arria® V ST SoC FPGA
    Intel® Arria® 10 GT FPGA
    Cyclone® V SX SoC FPGA
    Arria® V SX SoC FPGA
    Cyclone® V SE SoC FPGA
    Intel® Arria® 10 SX SoC FPGA

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้