ในการคอมไพล์โครงการของคุณด้วย SignalTap™ II Logic Analyzer จากบรรทัดคําสั่ง ก่อนอื่นให้เรียกใช้คําสั่งต่อไปนี้:
quartus_stp --stp_file .stp --enable
คําสั่งนี้จะนําข้อมูลจากไฟล์ SignalTap II (.stp) ของคุณและเพิ่มลงในไฟล์ Quartus II Settings (.qsf) แล้วคอมไพล์โครงการของคุณตามปกติ
ตัวอย่างเช่น หากคุณเรียกใช้สคริปต์ที่เรียกใช้แต่ละกระบวนการ ให้เพิ่มquartus_stpเป็นบรรทัดแรก
quartus_stp --stp_file .stp --enable
quartus_map
quartus_cdb --merge
quartus_fit
quartus_sta
quaruts_asm
หากคุณคอมไพล์โครงการของคุณโดยใช้วิธีบรรทัดเดียว --flow (quartus_sh --flow compile
) ให้เพิ่มการโทรquartus_stpก่อน
หากต้องการลบตัววิเคราะห์ลอจิก SignalTap II ออกจากโครงการของคุณ ให้ใช้สวิตช์ --disable
quartus_stp --stp_file .stp --disable