ID บทความ: 000084684 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 11/09/2012

ทําไมสัญญาณ 'local_burstbegin' ในรูปที่ 4-4 ของคู่มือผู้ใช้คอนโทรลเลอร์ประสิทธิภาพสูง (HP) DDR2 ซึ่งอธิบายถึงอินเทอร์เฟซแมปหน่วยความจําavalonการเขียนอัตราเต็มรูปแบบ

สิ่งแวดล้อม

BUILT IN - ARTICLE INTRO SECOND COMPONENT
คำอธิบาย

สัญญาณlocal_burstbeginขาดหายไปในคู่มือผู้ใช้ตัวควบคุมตัวควบคุม HP avalonการเขียนอัตราเต็มรูปแบบในอัตราเต็ม Local_burstbeginตามข้อมูลจําเพาะของAvalonที่เรียกว่า beginbursttransfer ข้อมูลจําเพาะอินเทอร์เฟซAvalon ตาราง 3-1 (PDF) อธิบายถึงสัญญาณนี้ว่า "Asserted by System Interconnect Fabric สําหรับรอบแรกของการถ่ายโอนแต่ละครั้งโดยไม่คํานึงว่ามีเรอซอร์สและสัญญาณอื่นๆ"

ในคอนโทรลเลอร์ DDR2 HP สําหรับการเขียน ควรระบุlocal_burstbeginให้รอบสัญญาณนาฬิกาหนึ่งรอบตอนต้นการถ่ายโอนต่อเนื่องแต่ละครั้ง โดยควรอยู่ในระดับสูงเพียงหนึ่งรอบต่อการถ่ายโอนต่อเนื่อง แม้ว่า Slave จะมีlocal_readyที่แยกออกจากกัน (เรียกว่า waitrequest_nใน Avalon) หาก Slave ได้ระบุlocal_readyแล้ว เจ้านายจะต้องเก็บสัญญาณคําขออื่นๆ ทั้งหมด (local_write_req, local_addr, local_size ฯลฯ) ไว้จนกว่าlocal_readyจะเพิ่มขึ้นอีกครั้ง แต่local_burstbeginไม่ควรระบุ

นอกจากนี้ local_burstbegin ยังใช้สําหรับธุรกรรมการอ่านซึ่งมีการยืนยันไว้สําหรับรอบนาฬิกาหนึ่งรอบเมื่อมีการระบุคําขออ่านและlocal_addressที่ควรอ่านข้อมูลให้กับหน่วยความจํา หากไม่ได้ทําการขจัดlocal_ready (เรียกว่า waitrequest_nในAvalon) เจ้านายต้องเก็บสัญญาณคําขอทั้งหมด (local_read_req, local_address, ขนาดโลคัล ฯลฯ) ตามที่ได้มาจนกว่าlocal_readyจะเพิ่มขึ้นอีกครั้ง แต่local_burstbeginไม่ควรมั่นใจ

ผลิตภัณฑ์ที่เกี่ยวข้อง

บทความนี้จะนำไปใช้กับ 2 ผลิตภัณฑ์

Stratix® III FPGA
Stratix® II FPGA

เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้