ID บทความ: 000084123 ประเภทข้อมูล: ข้อมูลผลิตภัณฑ์และเอกสารประกอบ การตรวจสอบครั้งล่าสุด: 04/06/2014

ฉันจะจัดการกับปัญหาที่ทราบสําหรับการออกแบบที่เน้น Stratix®อุปกรณ์ IV ในซอฟต์แวร์ Quartus® II เวอร์ชัน 9.0 ได้อย่างไร

สิ่งแวดล้อม

  • Intel® Quartus® II Subscription Edition
  • DSP
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    หากคุณใช้อุปกรณ์ Stratix® IV ให้ดาวน์โหลดและติดตั้งซอฟต์แวร์ Quartus® II 9.0 patch 0.21 เพื่อแก้ไขปัญหาซอฟต์แวร์ที่ทราบหลายปัญหา คอมไพล์การออกแบบของคุณใหม่ด้วยซอฟต์แวร์ที่แพทช์และกําหนดค่าอุปกรณ์ของคุณใหม่ด้วยไฟล์การเขียนโปรแกรมใหม่

    Patch 0.21 รวมการแก้ไขในแพทช์ Quartus II 9.0 0.03, 0.08 และ 0.13 หากคุณใช้โปรแกรมแก้ไขใดๆ คุณควรติดตั้งและคอมไพล์ซ้ําด้วย patch 0.21 เพื่อรับการแก้ไขซอฟต์แวร์ล่าสุดทั้งหมด

    คุณสามารถดูไฟล์ readme.txt สําหรับโปรแกรมแก้ไข 0.21 ดาวน์โหลดแพทช์จากลิงค์ที่เหมาะสม:

    • ซอฟต์แวร์ Quartus II เวอร์ชัน 9.0 patch 0.21 สําหรับพีซี
    • ซอฟต์แวร์ Quartus II เวอร์ชัน 9.0 patch 0.21 สําหรับ Linux

    หากคุณดาวน์โหลดและติดตั้งแพทช์ 0.21 และการออกแบบของคุณใช้บล็อก RAM หลายตัวและประเภท RAM ของหน่วยความจําเหล่านี้อย่างน้อยหนึ่งรายการเป็น AUTO คุณต้องดาวน์โหลดและติดตั้งแพทช์ซอฟต์แวร์ Quartus II 0.33

    คุณสามารถดูไฟล์ readme.txt สําหรับโปรแกรมแก้ไข 0.33 ดาวน์โหลดแพทช์จากลิงค์ที่เหมาะสม:

    • ซอฟต์แวร์ Quartus II เวอร์ชัน 9.0 patch 0.33 สําหรับพีซี
    • ซอฟต์แวร์ Quartus II เวอร์ชัน 9.0 patch 0.33 สําหรับ Linux

    ซอฟต์แวร์ Quartus II 9.0 patch 0.21 จะแก้ไขปัญหาต่อไปนี้:

    • เวอร์ชัน 9.0 ช่วยให้สามารถใช้งาน M144K RAM แบบสองพอร์ตได้ ซึ่งไม่รองรับในอุปกรณ์ IV GX ES Stratix สําหรับรายละเอียดเพิ่มเติมเกี่ยวกับปัญหานี้ โปรดดู Stratix IV GX Errata (PDF) บล็อก RAM M144K ในโหมดสัญญาณนาฬิกาคู่แบบพอร์ตคู่อาจทํางานไม่ถูกต้อง ส่งผลกระทบต่อแอพพลิเคชั่น เช่น หน่วยความจํา DCFIFO ซึ่งข้อมูลถูกถ่ายโอนระหว่างโดเมนนาฬิกาที่แยกต่างหากสองโดเมน Patch 0.21 ปิดใช้งานการใช้โหมดนาฬิกาคู่พอร์ตคู่สําหรับ M144K RAM ทั้งหมดในอุปกรณ์ IV GX ES Stratix

    • ด้วยเวอร์ชัน 9.0 บล็อก MLAB RAM ในอุปกรณ์ Stratix IV GX ES อาจทํางานไม่ถูกต้องเมื่อเปิดใช้งานคุณสมบัติการตรวจจับข้อผิดพลาด CRC การดําเนินการอ่านและเขียนในบล็อก MLAB RAM จะได้รับผลกระทบกับการตั้งค่าการแยกการตรวจจับข้อผิดพลาด CRC ทั้งหมด Patch 0.21 จํากัดการใช้หน่วยความจํา MLAB ด้วยคุณสมบัติการตรวจจับข้อผิดพลาด CRC ในอุปกรณ์ Stratix IV GX ES สําหรับข้อมูลเพิ่มเติมเกี่ยวกับปัญหานี้ โปรดดู Stratix IV GX Errata (PDF)

    • หากการออกแบบของคุณใช้อินพุตดิฟเฟอเรนเชียลพร้อม Parallel On Chip Termination (OCT) ในเวอร์ชัน 9.0 OCT แบบขนานจะไม่เปิดสําหรับ n-pin ของคู่ส่วนต่างในระหว่างการคอมไพล์ โปรแกรมแก้ไข 0.21 แก้ไขปัญหานี้

    • หากการออกแบบของคุณใช้บล็อก DSP พร้อมคุณสมบัติ Chainout Assembler ในเวอร์ชัน 9.0 จะตั้งค่าทั้งการลงทะเบียน chainout และ adder ตัวที่สองเพื่อใช้สัญญาณนาฬิกาและสัญญาณที่ชัดเจนเดียวกัน การออกแบบล้มเหลวบนบอร์ดหาก chainout และตัวเพิ่มที่สองลงทะเบียนใช้นาฬิกาที่แตกต่างกันในการออกแบบ โปรแกรมแก้ไข 0.21 แก้ไขปัญหานี้

    • โปรแกรมแก้ไข 0.21 ลบข้อผิดพลาดข้อจํากัดและ Fitter ในเวอร์ชัน 9.0 ซึ่งคุณไม่สามารถตั้งค่า VCCA เป็น 2.5V เมื่อสัญญาณนาฬิกาอ้างอิงสูงกว่า 637.5 MHz สําหรับอินเทอร์เฟซความเร็วสูง ซอฟต์แวร์ Quartus II เวอร์ชัน 9.0 จะสร้างข้อความแสดงข้อผิดพลาดต่อไปนี้ในสถานการณ์นี้:
      ข้อผิดพลาด: CMU element <name> มีการตั้งค่า VCCA 2.5V ที่ไม่ถูกต้อง ซึ่งไม่ใช่ค่าที่ถูกต้อง

    • สําหรับการออกแบบที่กําหนดเป้าหมายอุปกรณ์ Stratix IV GT ในเวอร์ชั่น 9.0 Clock Data Recovery (CDR) ในตัวรับส่งสัญญาณไม่ได้ล็อคในโหมดอัตโนมัติ ส่งผลให้สัญญาณ rx_freqlocked ติดอยู่ที่ระดับตรรกะ 0 โปรแกรมแก้ไข 0.21 แก้ไขปัญหานี้

    ซอฟต์แวร์ Quartus II 9.0 patch 0.33 จะแก้ไขปัญหาต่อไปนี้:

    • หากคุณดาวน์โหลดแพทช์ 0.21 และการออกแบบของคุณใช้ RAM นาฬิกาคู่มากกว่าหนึ่งรายการและประเภท RAM อย่างน้อยหนึ่งประเภทถูกตั้งค่าเป็น AUTO การคอมไพล์ของคุณอาจไม่เสร็จสมบูรณ์ หรือคุณอาจเห็นข้อผิดพลาดภายในในระหว่างการคอมไพล์ โปรแกรมแก้ไขซอฟต์แวร์ Quartus II 0.33 แก้ไขปัญหานี้ได้
    ความละเอียด

    ปัญหาเหล่านี้จะได้รับการแก้ไขในซอฟต์แวร์ Quartus II เวอร์ชันในอนาคต

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

    Stratix® IV GX FPGA

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้