ID บทความ: 000082189 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 16/04/2015

ทําไมฉันถึงได้รับความล้มเหลวในการกําหนดเวลาใน Intel® Arria® 10 Hard IP สําหรับสัญญาณ PLD_CLK_INUSE_HIP_SYNC PCI Express

สิ่งแวดล้อม

  • Intel® Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    เนื่องจากปัญหาในซอฟต์แวร์ Quartus II รีลีส® 14.1 ข้อจํากัดบางประการของ Intel® Arria® 10 Hard IP สําหรับ PCI Express ขาดหายไป

    พาธไปยัง pld_clk_inuse_hip_sync สัญญาณสามารถตั้งค่าเป็นพาธเท็จได้

    ความละเอียด

    ในการแก้ไขปัญหานี้ ให้เพิ่มข้อจํากัดต่อไปนี้ไปยังไฟล์ข้อจํากัดระดับสูงสุด (.sdc) ของคุณหลังจากคําสั่ง derive_pll_clocks :

    # ข้อจํากัดของพินการทดสอบ HIP SDC
    set_false_path -จาก [get_pins -compatibility_mode *hip_ctrl*]
    set_false_path -from [get_pins -compatibility_mode *altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_rs_a10_hip:g_soft_reset.altpcie_rs_a10_hip|hiprst*]
    set_false_path -ไปยัง [get_registers *altpcie_a10_hip_pipen1b|pld_clk_inuse_hip_sync]
    set_false_path -จาก [get_pins -compatibility_mode *|*reset_status_sync_pldclk_r*]
    set_false_path -จาก [get_registers *altpcie_256_sriov_dma_avmm_hwtcl:apps|altpcierd_hip_rs:rs_hip|app_rstn]

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 3 ผลิตภัณฑ์

    Intel® Arria® 10 GT FPGA
    Intel® Arria® 10 GX FPGA
    Intel® Arria® 10 SX SoC FPGA

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้