ID บทความ: 000082147 ประเภทข้อมูล: ข้อมูลผลิตภัณฑ์และเอกสารประกอบ การตรวจสอบครั้งล่าสุด: 17/04/2015

ฉันจะจํากัดเวลาของ IP Serial Flash Loader (SFL) ในอุปกรณ์ Cyclone III และอุปกรณ์ Cyclone IV ได้อย่างไร

สิ่งแวดล้อม

  • ไดรเวอร์ซอฟต์แวร์อนุกรม MicroBlaster™ Passive
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    เมื่อทําการเขียนโปรแกรมอุปกรณ์ Serial Configuration (EPCS) อุปกรณ์ Quad-Serial Configuration (EPCQ) ที่มี Serial Flash Loader (SFL) IP ในอุปกรณ์ Cyclone® III และ Cyclone IV คุณสามารถใช้ดังต่อไปนี้ ข้อจํากัดของ SDC ในการกําหนดเวลาอย่างถูกต้องสําหรับ SFL

    โปรดทราบว่าคุณต้องแก้ไขชื่อสัญญาณ พาธ และเวลาตามการออกแบบ อุปกรณ์กําหนดค่า และความยาวการติดตามบอร์ดของคุณ

     

    #clock

    derive_clock_uncertainty

    create_generated_clock -name {altera_dclk} -source [get_ports {altera_reserved_tck}] -master_clock {altera_reserved_tck} [get_ports {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst:asmi_inst~ALTERA_DCLK}]

     

    พอร์ต #constrain JTAG
    set_input_delay -clock altera_reserved_tck 20 [get_ports altera_reserved_tdi]

    set_input_delay -clock altera_reserved_tck 20 [get_ports altera_reserved_tms]

    set_output_delay -clock altera_reserved_tck 20 [get_ports altera_reserved_tdo]

     

    พอร์ต #ASMI

    set_output_delay -add_delay-clock [get_clocks {altera_dclk}] 13.000 [get_ports {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst~ALTERA_SCE}]
    set_output_delay -add_delay -clock [get_clocks {altera_dclk}] 8.000 [get_ports {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst~ALTERA_SDO}]
    set_input_delay -add_delay -clock [get_clocks {altera_dclk}] 11.000 [get_ports {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst~ALTERA_DATA0}]

     

    ตั้งกลุ่มนาฬิกา#Removeตามเควสเวลา

    remove_clock_groups -ทั้งหมด

     

    เส้นทาง#Setเท็จ

    set_false_path -from [get_ports {altera_reserved_tck}] -ไปยัง [get_keepers {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst~ALTERA_DCLK}]
    set_false_path -from [get_keepers {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|alt_sfl_enhanced:\ENHANCED_PGM:sfl_inst_enhanced|device_dclk_en_reg}] -ไปยัง [get_ports {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst~ALTERA_DCLK}]

     

    ความละเอียด

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 4 ผลิตภัณฑ์

    Cyclone® III LS FPGA
    Cyclone® IV E FPGA
    Cyclone® IV GX FPGA
    Cyclone® III FPGA

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้