ID บทความ: 000081303 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 11/09/2012

ทําไมถึงมีระยะขอบเวลาเชิงลบบนนาฬิกาไปยัง Strobe (DQS เทียบกับ CK) สําหรับการออกแบบที่รวมถึงเมก้าคอนโทรลเลอร์ประสิทธิภาพสูง DDR2 SDRAM หรือ ALTMEMPHY

สิ่งแวดล้อม

BUILT IN - ARTICLE INTRO SECOND COMPONENT
คำอธิบาย

สําหรับการออกแบบ Stratix® II ที่ใช้ DDR2 SDRAM High Performance Controller MegaCore® หรือ ALTMEMPHY ซึ่งใช้เอาต์พุต PLL เฉพาะเพื่อขับเคลื่อนพินอินพุตสัญญาณนาฬิกาหน่วยความจําภายนอก ซอฟต์แวร์ Quartus® II อาจรายงานระยะขอบของเวลาเชิงลบสําหรับนาฬิกาไปยัง Strobe (DQS เทียบกับ CK) ฟังก์ชันดังกล่าวจะใช้เอาต์พุต PLL เมื่อ ใช้เอาต์พุต PLL เฉพาะเพื่อขับเคลื่อนตัวเลือกนาฬิกาหน่วยความจํา ในการเปิดใช้งานบนหน้า การตั้งค่า PHY ของ MegaWizard® Plug-In Manager

อุปกรณ์หน่วยความจําภายนอกต้องการให้สัญญาณ CK/CK# และ DQS มาถึงในเวลาเดียวกันภายใน /- tDQSS สคริปต์การกําหนดเวลาเมก้าฟังก์ชัน ALTMEMPHY จะตรวจสอบว่าตรงตามข้อกําหนดเหล่านี้หรือไม่ เมื่อคุณใช้เอาต์พุต PLL เฉพาะเพื่อสร้างสัญญาณนาฬิกาหน่วยความจํา tCO ความล่าช้าบนพินเอาต์พุต CK/CK# อาจมีขนาดเล็กกว่าความล่าช้าของเอาต์พุต Strobe DQS ความแตกต่างของความล่าช้านี้อาจส่งผลให้เกิดการละเมิดเวลาสําหรับความสัมพันธ์ด้านเวลา DQS เทียบกับ CK

คุณอาจสามารถแก้ไขการละเมิดเวลาเหล่านี้ได้ด้วยการปรับการตั้งค่าการเปลี่ยนเฟสในเอาต์พุต PLL ที่ใช้ในการขับเคลื่อนเอาต์พุต CK/CK# ในการออกแบบ Stratix II ALTMEMPHY ตัวนับเอาต์พุต c3 บน PLL จะสร้างเอาต์พุต CK/CK# ขั้นตอนต่อไปนี้อธิบายถึงขั้นตอนที่จําเป็น

  1. คํานวณค่าเฉลี่ยของการตั้งค่าและถือสแล็กเวลาที่รายงานสําหรับความสัมพันธ์ด้านเวลา DQS เทียบกับ CK
  2. ตรวจสอบการเปลี่ยนเฟส PLL เพิ่มเติมที่จําเป็นเพื่อรักษาสมดุลของการตั้งค่าและระงับเวลาสแลค
  3. ใช้ตัวจัดการปลั๊กอิน MegaWizard เพื่อแก้ไขอินสแตนซ์เมกะฟังก์ชัน ALTPLL _phy_alt_mem_phy_pll_sii
  4. ปรับการตั้งค่า Phase Shift สําหรับผลลัพธ์ตัวนับ PLL ที่เหมาะสมตามผลลัพธ์ของคุณจากขั้นตอนที่ 2
  5. สร้างอินสแตนซ์เมกะฟังก์ชัน PLL ใหม่
  6. คอมไพล์การออกแบบใหม่และตรวจสอบเวลาทุกหีบเป็นบวก

เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้