ID บทความ: 000079792 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 31/12/2014

รูปแบบ IP Core VHDL ของ CPRI v6.0 ที่มุ่งเป้าไปที่อุปกรณ์ Arria V GZ หรือ Stratix V ไม่สามารถจําลองด้วยเครื่องจําลอง Synopsys VCS-MX

สิ่งแวดล้อม

  • Intel® Quartus® II Subscription Edition
  • การจำลองแบบ
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    ปัญหาสำคัญ

    คำอธิบาย

    รูปแบบ VHDL ของคอร์ IP CPRI v6.0 ที่มุ่งเป้าไปที่Arria V GZ หรืออุปกรณ์ Stratix V ไม่สามารถจําลองด้วย Synopsys VCS-MX จำลอง

    ความละเอียด

    เพื่อหลีกเลี่ยงปัญหานี้ คุณสามารถจําลองแกน IP ด้วย การจําลอง Mentor Graphics ModelSim

    หรือคุณสามารถแก้ไขปัญหานี้ด้วยคู่มือบางรายการ จะปรับเปลี่ยนเป็นรหัส RTL ที่สร้างขึ้น หลังจากที่คุณสร้าง การออกแบบ คุณต้องแก้ไขไฟล์ pll_sim.vhd ด้วยตนเอง ซึ่งคอร์ IP TX PLL ภายนอกจะถูกสร้างขึ้นก่อนทําการจําลอง ดี ไซน์ โดด เด่น

    คุณต้องแก้ไขประเภทในการประกาศและตรรกะสําหรับ , pll_fb_swfboutclkและhclkสัญญาณ ไปยัง std_logic_vectorโดยทําการเปลี่ยนแปลงต่อไปนี้ ในไฟล์:

    ในการประกาศส่วนประกอบ ให้แทนที่ข้อความนี้

    pll_fb_sw : in std_logic := \'X\'; fboutclk :out std_logic; hclk : out std_logic

    ด้วยข้อความนี้:

    pll_fb_sw : in std_logic_vector(0 downto 0) := (others => \'X\'); fboutclk :out std_logic_vector(0 downto 0); hclk : out std_logic_vector(0 downto 0);

    ในแผนผังพอร์ต ให้แทนที่ข้อความนี้

    pll_fb_sw => \'0\',

    ด้วยข้อความนี้:

    pll_fb_sw => "0",

    ปัญหานี้ได้รับการแก้ไขในเวอร์ชัน 14.1 ของคอร์ IP CPRI v6.0

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

    อุปกรณ์ที่ตั้งโปรแกรมได้ Intel®

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้