ID บทความ: 000077426 ประเภทข้อมูล: ข้อความแสดงข้อผิดพลาด การตรวจสอบครั้งล่าสุด: 20/03/2019

ข้อผิดพลาด(18969): IO Banks <> 1C 1D 1E 1F อยู่ในแถบ HSSI เดียวกัน ตัวรับส่งสัญญาณและ PLLs ที่อยู่ภายใน IO Banks เหล่านี้จําเป็นต้องใช้แรงดันไฟฟ้าพาวเวอร์ซัพพลายเดียวกัน ตัวรับส่งสัญญาณและ PLL ใช้พาวเวอร์ซัพพลาย 1030 mv 1120 mv

สิ่งแวดล้อม

  • Intel® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    ซอฟต์แวร์ Intel® Quartus® Prime Pro Edition เวอร์ชั่น 18.1 จะบังคับให้ทุกธนาคารอยู่ในอุปกรณ์ Stratix® 10 L-tile หรือ H-tile เพื่อให้ได้VCCR_GXBและVCCT_GXBเดียวกันตามค่าเริ่มต้น หากVCCR_GXBและVCCT_GXBในไทล์ตัวรับส่งสัญญาณมีการตั้งค่าแรงดันไฟฟ้าที่แตกต่างกัน อาจพบข้อผิดพลาดต่อไปนี้ในการคอมไพล์:

    ข้อผิดพลาด(18969): IO Banks <> 1C 1D 1E 1F อยู่ในแถบ HSSI เดียวกัน ตัวรับส่งสัญญาณและ PLLs ที่อยู่ภายใน IO Banks เหล่านี้จําเป็นต้องใช้แรงดันไฟฟ้าพาวเวอร์ซัพพลายเดียวกัน ตัวรับส่งสัญญาณและ PLL ใช้พาวเวอร์ซัพพลาย 1030 mv 1120 mv

    ข้อผิดพลาด(12274): เกิดข้อผิดพลาดที่สําคัญในขณะที่ตําแหน่งอุปกรณ์ต่อพ่วงถูกยึดมั่นในอะตอมเน็ตลิสต์ ตอนนี้ Atom netlist ไม่ถูกต้องและ Fitter ต้องรีสตาร์ต

    พิน VCCR_GXB และ VCCT_GXB ภายในตัวรับส่งสัญญาณ Stratix 10 ตัวหรือ H-tile bank ต้องมีแรงดันไฟฟ้าเท่ากัน (1.03V หรือ 1.12V) อย่างไรก็ตาม VCCR_GXBและVCCT_GXBของธนาคารต่างๆ ภายในไทล์ตัวรับส่งสัญญาณเดียวกันอาจมีแรงดันไฟฟ้าที่แตกต่างกันตามอัตราข้อมูลตัวรับส่งสัญญาณที่ได้รับการกําหนดค่าเพื่อลดการใช้พลังงานของไทล์ตัวรับส่งสัญญาณ

    สําหรับข้อมูลเพิ่มเติมเกี่ยวกับการเชื่อมต่อVCCR_GXBและVCCT_GXB โปรดดู คําแนะนําการเชื่อมต่อพินตระกูลอุปกรณ์ Intel Stratix 10

    ความละเอียด

    หากต้องการแก้ไขปัญหานี้ในซอฟต์แวร์ Intel® Quartus® Prime Pro Edition เวอร์ชั่น 18.1 ให้เพิ่มการบ้านต่อไปนี้ในไฟล์การตั้งค่า Quartus โครงการ (QSF)

    เปิดALLOW_VCCR_VCCT_PER_BANKชื่อset_global_assignment

    การตั้งค่าแรงดันไฟฟ้าต้องสอดคล้องกับการเชื่อมต่อ PCB

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

    Intel® Stratix® 10 FPGA และ SoC FPGA

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้