ID บทความ: 000076472 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 30/06/2014

altera_fp_functionsเปรียบเทียบฟังก์ชันสร้างไฟล์ระดับสูงสุดโดยไม่ต้องใช้พอร์ต

สิ่งแวดล้อม

  • Intel® Quartus® II Subscription Edition
  • จุดลอยตัว
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    ปัญหาสำคัญ

    คำอธิบาย

    เมื่อคุณสร้างการเปรียบเทียบฟังก์ชันสําหรับ IP จุดลอยตัว คอร์ในซอฟต์แวร์ 13.1 Quartus® II รุ่นไฟล์ระดับ .vhd สูงสุด เกิดขึ้นโดยไม่มีพอร์ต "b" ที่จําเป็น ไฟล์นี้ .vhd ข้อผิดพลาดเกิดขึ้นเมื่อสร้างฟังก์ชันการเปรียบเทียบเท่านั้น ฟังก์ชันอื่นๆ จาก altera_fp_functions จะไม่ได้รับผลกระทบ

    ความละเอียด

    ปัญหานี้ได้รับการแก้ไขในซอฟต์แวร์ 14.0 Quartus II รุ่น

    ในซอฟต์แวร์ 13.1 Quartus II รีลีส เมื่อคุณสร้าง เปรียบเทียบฟังก์ชันที่เรียกว่า cmp ซอฟต์แวร์สร้างระดับสูงสุด ไฟล์ที่เรียกว่า cmp.vhdไฟล์นี้ไม่มีไฟล์ที่จําเป็น พอร์ต "b" คุณสามารถแก้ไข cmp.vhd ดังนี้เพื่อรวมไว้ พอร์ต "b" ที่จําเป็น:

    entity cmp is

    port (

    clk : in std_logic := \'0\'; -- clk.clk

    areset : in std_logic := \'0\'; -- areset.reset

    a : in std_logic_vector(31 downto 0) := (others => \'0\'); -- a.a

    b : in std_logic_vector(31 downto 0) := (others => \'0\'); -- b.b

    q : out std_logic_vector(0 downto 0) -- q.q

    );

    end entity cmp;

    architecture rtl of cmp is

    component cmp_0002 is

    port (

    clk : in std_logic := \'X\'; -- clk

    areset : in std_logic := \'X\'; -- reset

    a : in std_logic_vector(31 downto 0) := (others => \'X\'); -- a

    b : in std_logic_vector(31 downto 0) := (others => \'X\'); -- b

    q : out std_logic_vector(0 downto 0) -- q

    );

    end component cmp_0002;

    begin

    cmp_inst: component cmp_0002

    port map(

    clk => clk, -- clk.clk

    areset => areset, -- areset.reset

    a => a, -- a.a

    b => b, -- b.b

    q => q -- q.q

    );end architecture rtl; -- of cmp

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

    อุปกรณ์ที่ตั้งโปรแกรมได้ Intel®

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้