ปัญหาสำคัญ
การทดสอบการสาธิตสําหรับรูปแบบ 40GBASE-KR4 ของ Ethernet MAC และ PHY IP Core ขนาด 40 และ 100-Gbps ไม่สามารถจําลองได้ ประสบความสําเร็จด้วยโปรแกรมจําลอง Cadence NCSIM
ข้อผิดพลาดอยู่ในสคริปต์การจําลอง run_ncsim.sh ข้อความแสดงข้อผิดพลาดตัวอย่างต่อไปนี้บ่งชี้ถึงความล้มเหลว:
ncelab: *E,CUVMUR (./alt_e40_avalon_kr4_tb.sv,1213|14):
instance ‘alt_e40_avalon_kr4_tb.reco_bundle_1’ of design unit ‘sv_rcn_bundle’
is unresolved in ‘work.alt_e40_avalon_kr4_tb: module’.
คุณสามารถแก้ไขปัญหานี้ได้สองทางเลือก
คุณสามารถจําลองการสาธิตคอร์ IP 40GBASE-KR4 40-100GbE testbench พร้อมการจําลอง Mentor Graphics ModelSim
หรือคุณสามารถแก้ไขไฟล์ testbench ของคุณ คุณต้อง และยังล้างข้อมูลหลังจากรันการจําลองที่ไม่สําเร็จโดยที่ไม่ได้แนะนํา แฟ้ม
การเปลี่ยนแปลงต่อไปนี้ทําให้มั่นใจได้ว่าไฟล์ IP Core Testbench ของคุณ เข้ากันได้กับการจําลอง Cadence NCSIM:
- เปลี่ยนไดเรกทอรีเป็น _example/alt_e40_e100/example_testbench
- เปิดไฟล์ run_ncsim.sh ในโปรแกรมแก้ไขข้อความ และแทนที่บรรทัดที่มีอยู่ต่อไปนี้ด้วยคําสั่งใหม่ต่อไปนี้:
- เปิดไฟล์ kr4_example_files.txt ใน ตัวแก้ไขข้อความและลบสองบรรทัดต่อไปนี้:
- ลบไดเรกทอรี ไลบรารี ที่สร้างขึ้นโดย การจําลองที่ไม่สําเร็จของคุณรันโดยใช้ไฟล์ run_ncsim.sh ที่ไม่ผ่านการแก้ไข
แทนที่บรรทัดที่มีอยู่นี้:
ncvlog -F ./kr4_example_files.txt
ด้วยคําสั่งการเปลี่ยนแทนนี้:
ncvlog -sv -F ./kr4_example_files.txt
../example/common/alt_e40_e_reco/alt_e40_e_reco/altera_xcvr_functions.sv
../example/common/alt_e40_e_reco/alt_e40_e_reco/xv_xcvr_h.sv
ปัญหานี้ได้รับการแก้ไขในเวอร์ชัน 14.0 ของ 40 และ 100-Gbps ฟังก์ชัน Ethernet MAC และ PHY MegaCore