ID บทความ: 000075518 ประเภทข้อมูล: ข้อมูลผลิตภัณฑ์และเอกสารประกอบ การตรวจสอบครั้งล่าสุด: 10/10/2014

ฉันจะเชื่อมต่อcoreclkout_hipกับpld_clkบน Stratix V อย่างไร

สิ่งแวดล้อม

BUILT IN - ARTICLE INTRO SECOND COMPONENT
คำอธิบาย

ใน Stratix® IV Hard IP สําหรับ PCI Express® การกําหนดค่าบางอย่างอนุญาตpld_clk จะถูกขับเคลื่อนจาก PLL ที่ได้มาจาก coreclkout_hip.  ไม่รองรับการใช้งานนี้เมื่อใช้ Stratix V Hard IP

ความละเอียด

สําหรับ Stratix V ให้เชื่อมต่อ pld_clk ถึง coreclkout_hip ตามที่แสดงในตารางการปรับใช้สัญญาณนาฬิกา Hard IP ของส่วนสัญญาณนาฬิกาของ Stratix V Hard IP สําหรับคู่มือผู้ใช้ PCI Express

ผลิตภัณฑ์ที่เกี่ยวข้อง

บทความนี้จะนำไปใช้กับ 3 ผลิตภัณฑ์

Stratix® V GS FPGA
Stratix® V GT FPGA
Stratix® V GX FPGA

เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้