ID บทความ: 000074758 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 13/03/2013

ทําไมการกําหนดค่า Arria® V และ Cyclone® V Avalon-MM ของฉันของ PCI Express จึงไม่สามารถเชื่อมโยงรถไฟได้อย่างถูกต้อง

สิ่งแวดล้อม

  • Intel® Quartus® II Subscription Edition
  • IP เอฟพีจีเอ Intel® Arria® V Hard IP สำหับ PCI Express*
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    เนื่องจากข้อบกพร่องในซอฟต์แวร์ Quartus® II เวอร์ชัน 12.1SP1 การตั้งค่า PMA ตัวรับส่งสัญญาณ PCIe® ที่จําเป็นในโหมด Avalon-MM ไม่ถูกต้องถูกตั้งค่าเป็นศูนย์สําหรับอุปกรณ์ V และ Cyclone® V Arria®

    ความละเอียด

    หลังจากการสร้าง Qsys เสร็จสิ้นแล้ว ให้ปรับเปลี่ยนแฟ้มต่อไปนี้ที่พบในโฟลเดอร์ <Qsys System>\synthesis\submodules\ ด้วยตนเอง

    altpcie_av_hip_ast_hwtcl.v และ altpcie_cv_hip_ast_hwtcl.v

    เปลี่ยนจาก:
    rpre_emph_a_val_hwtcl พารามิเตอร์ = 0,
    พารามิเตอร์ rpre_emph_b_val_hwtcl = 0,
    rpre_emph_c_val_hwtcl พารามิเตอร์ = 0,
    พารามิเตอร์ rpre_emph_d_val_hwtcl = 0,
    พารามิเตอร์ rpre_emph_e_val_hwtcl = 0,
    พารามิเตอร์ rvod_sel_a_val_hwtcl = 0,
    พารามิเตอร์ rvod_sel_b_val_hwtcl = 0,
    พารามิเตอร์ rvod_sel_c_val_hwtcl = 0,
    rvod_sel_d_val_hwtcl พารามิเตอร์ = 0,
    rvod_sel_e_val_hwtcl พารามิเตอร์ = 0

    ถึง:
    rpre_emph_a_val_hwtcl พารามิเตอร์ = 6\'b000000,
    rpre_emph_b_val_hwtcl พารามิเตอร์ = 6\'b000000,
    rpre_emph_c_val_hwtcl พารามิเตอร์ = 6\'b010000,
    rpre_emph_d_val_hwtcl พารามิเตอร์ = 6\'b001100,
    rpre_emph_e_val_hwtcl พารามิเตอร์ = 6\'b000101,
    rvod_sel_a_val_hwtcl พารามิเตอร์ = 6\'b101001,
    rvod_sel_b_val_hwtcl พารามิเตอร์ = 6\'b100111,
    rvod_sel_c_val_hwtcl พารามิเตอร์ = 6\'b100101,
    rvod_sel_d_val_hwtcl พารามิเตอร์ = 6\'b100111,
    rvod_sel_e_val_hwtcl พารามิเตอร์ = 6\'b001111

    ปัญหานี้ถูกกําหนดให้ได้รับการแก้ไขในเวอร์ชันอนาคตของซอฟต์แวร์ Quartus® II

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 2 ผลิตภัณฑ์

    Cyclone® V GX FPGA
    Arria® V GX FPGA

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้