ID บทความ: 000074201 ประเภทข้อมูล: การแก้ไขปัญหา การตรวจสอบครั้งล่าสุด: 16/10/2020

ทําไมความถี่AS_CLKจึงเปลี่ยนเป็น 25MHz โดยไม่คาดคิดเมื่อไคลเอนต์กล่องจดหมายIntel® FPGA IP หรือ Serial Flash Mailbox Client Intel® FPGA IPเข้าถึงอุปกรณ์หน่วยความจําแฟลชเมื่อใช้งานอุปกรณ์ Intel® Stratix® 10

สิ่งแวดล้อม

  • Intel® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    คำอธิบาย

    เนื่องจากปัญหาในซอฟต์แวร์ Intel® Quartus® Prime Pro Edition เวอร์ชั่น 20.3 และก่อนหน้า ความถี่สัญญาณนาฬิกาการกําหนดค่าอนุกรม Active Serial (AS_CLK) มีการเปลี่ยนแปลงเป็น 25MHz โดยไม่คาดคิด หากมีการใช้คําสั่งQSPI_SET_CSเมื่อ Mailbox Client Intel® FPGA IP หรือ Serial Flash Mailbox Client Intel® FPGA IPเข้าถึงอุปกรณ์หน่วยความจําแฟลชเมื่อใช้งานอุปกรณ์ Intel® Stratix® 10
    ความถี่AS_CLKสามารถระบุได้ด้วยเมนู Active Serial Clock Source ในหมวดหมู่ การกําหนดค่า ของกล่องโต้ตอบ ตัวเลือกอุปกรณ์และพิน   การตั้งค่านี้ควรนําไปใช้กับความถี่AS_CLKไม่เพียงแต่การกําหนดค่า Active Serial แต่ยังใช้กับการทํางานของ IP ด้วย แต่เมื่อใช้คําสั่ง QSPI_SET_CS แล้ว ความถี่AS_CLKเปลี่ยนเป็น 25MHz อย่างไม่ถูกต้อง  ไม่มีทางที่จะแปลงความถี่AS_CLKกลับสู่ความถี่ที่ระบุในเมนูที่มาของนาฬิกา Active Serial

    ความละเอียด

    เพื่อหลีกเลี่ยงปัญหานี้ อย่าใช้คําสั่ง QSPI_SET_CS

    แม้ว่าคู่มือผู้ใช้สําหรับไคลเอนต์กล่องจดหมาย Intel® FPGA IP และคู่มือผู้ใช้ Serial Flash Mailbox Intel® FPGA IP คู่มือผู้ใช้มีคําแนะนําให้ใช้คําสั่ง QSPI_SET_CS ก่อนการดําเนินการ Quad SPI หนึ่งครั้ง แต่ไม่จําเป็นเมื่อเชื่อมต่อกับอุปกรณ์แฟลช Intel Stratix 10 เครื่องเท่านั้นและชิปของอุปกรณ์แฟลชที่เลือกจะเชื่อมต่อกับ nCS[0] ของอุปกรณ์ Intel Stratix 10

    ไม่มีการแก้ไขปัญหาเมื่อเชื่อมต่ออุปกรณ์แฟลชหลายตัวอยู่ และจําเป็นต้องมีคําสั่งQSPI_SET_CSในการเลือกหนึ่งในอุปกรณ์แฟลชเหล่านั้น

    ปัญหานี้แก้ไขได้ด้วยซอฟต์แวร์ Intel® Quartus® Prime Pro Edition เวอร์ชั่น 21.1

    ผลิตภัณฑ์ที่เกี่ยวข้อง

    บทความนี้จะนำไปใช้กับ 1 ผลิตภัณฑ์

    Intel® Stratix® 10 FPGA และ SoC FPGA

    เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้