ปัญหาสำคัญ
เมื่อเรียกใช้การวิเคราะห์เวลาของ IP Compiler สําหรับ PCI Express® บน Stratix® IV คุณจะเห็นคําเตือนที่เกี่ยวข้องกับไฟล์ข้อจํากัดการออกแบบของ Synopsys ที่สร้างขึ้นโดยอัตโนมัติ (SDC)
หากต้องการแก้ไขปัญหาการเตือนเกี่ยวกับข้อจํากัดด้านการวิเคราะห์เวลาแต่ละตัว ให้ทําตามวิธีการแก้ไขปัญหาสําหรับไฟล์ altera_pci_express.sdc ที่แสดงไว้ด้านล่าง
[คําเตือน]
คําเตือน (332174): ตัวกรองที่ละเว้นที่ altera_pci_express.sdc(14): *refclk_export ไม่สามารถจับคู่กับพอร์ตหรือพิน หรือลงทะเบียนหรือผู้รักษาหรือเน็ตได้
[การแก้ไขปัญหาชั่วคราว]
เปลี่ยน altera_pci_express.sdc บรรทัดที่ 14 จาก
create_clock -period "100 MHz" -name {refclk_pci_express} {*refclk_export}
ถึง
create_clock -period "100 MHz" -name {refclk_pci_express} [get_ports PCIE_REFCLK]
[คําเตือน]
คําเตือน (332174): ตัวกรองที่ละเว้นที่ altera_pci_express.sdc(18): ไม่สามารถจับคู่ *central_clk_div0* กับนาฬิกาได้
คําเตือน (332174): ตัวกรองที่ละเว้นที่ altera_pci_express.sdc(18): ไม่สามารถจับคู่ *_hssi_pcie_hip* กับนาฬิกาได้
[การแก้ไขปัญหาชั่วคราว]
เปลี่ยน altera_pci_express.sdc บรรทัดที่ 18 จาก
set_clock_groups -exclusive -group [get_clocks { *central_clk_div0* }] -กลุ่ม [get_clocks { *_hssi_pcie_hip* }]
ถึง
set_clock_groups -exclusive -group [get_clocks *central_clk_div0* ] -กลุ่ม [get_clocks *_hssi_pcie_hip* ]
ปัญหานี้จะไม่ได้รับการแก้ไขในซอฟต์แวร์ที่ออกใหม่ในอนาคต