คําสั่งชุดข้อมูลตัววิเคราะห์เวลา

author-image

โดย

ตัววิเคราะห์เวลารองรับอินเทอร์เฟซโปรแกรมแอปพลิเคชันคอลเลกชัน (API) ที่ให้การเข้าถึงพอร์ต พิน เซลล์ หรือโหนดในการออกแบบได้อย่างง่ายดาย คุณสามารถใช้ API คอลเลกชันกับข้อจํากัดหรือคําสั่งภาษาเครื่องมือ (Tcl) ที่ถูกต้องตามที่ระบุไว้ในตัววิเคราะห์เวลา

ตารางที่ 1 อธิบายถึงคําสั่งคอลเลกชันที่สนับสนุนโดยตัววิเคราะห์เวลา

สําหรับข้อมูลเพิ่มเติมเกี่ยวกับคอลเลกชัน โปรดดู บทของตัววิเคราะห์เวลาหรือคู่มืออ้างอิง SDC & Timing Analyzer API (PDF)

ตัวอย่างต่อไปนี้แสดงการใช้ คําสั่งcreate_clock และ create_generated_clock ต่างๆ ด้วยคําสั่งคอลเลกชัน

# สร้าง 10 ns อย่างง่ายด้วยนาฬิกาที่มีรอบการทํางาน 60%
create_clock -period 10 -waveform {0 6} -name clk [get_ports clk]
# มัลติฟังก์ชันต่อไปนี้มีผลกับทุกเส้นทางที่ลงท้ายด้วยการลงทะเบียน
# ตอกบัตรด้วย clk
set_multicycle_path -to [get_clocks clk] 2

เนื้อหาในหน้านี้เป็นการผสมผสานระหว่างการแปลเนื้อหาต้นฉบับภาษาอังกฤษโดยมนุษย์และคอมพิวเตอร์ เนื้อหานี้จัดทำขึ้นเพื่อความสะดวกของคุณและเพื่อเป็นข้อมูลทั่วไปเท่านั้นและไม่ควรอ้างอิงว่าสมบูรณ์หรือถูกต้อง หากมีความขัดแย้งใด ๆ ระหว่างเวอร์ชันภาษาอังกฤษของหน้านี้กับคำแปล เวอร์ชันภาษาอังกฤษจะมีผลเหนือกว่าและควบคุม ดูเวอร์ชันภาษาอังกฤษของหน้านี้