::quartus::dni_sdc
The following table displays information for the ::quartus::dni_sdc Tcl package:
Tcl Package and Version | ::quartus::dni_sdc 1.5 |
Description |
This package contains no general description. |
Availability |
This package is available for loading in the following executables: qpro quartus quartus_cdb quartus_sh quartus_sta quartus_syn |
Tcl Commands |
dni::create_generated_clock dni::set_clock_groups dni::set_clock_latency dni::set_clock_uncertainty dni::set_data_delay dni::set_disable_timing dni::set_false_path dni::set_input_delay dni::set_input_transition dni::set_max_delay dni::set_max_skew dni::set_max_time_borrow dni::set_min_delay dni::set_multicycle_path dni::set_net_delay dni::set_operating_conditions dni::set_output_delay dni::set_sense dni::set_timing_derate |