ID:13801 VHDL Case Statement warning at <location>: subtype of expression is not locally static

CAUSE: In a Case Statement at the specified location in a VHDL Design File (.vhd), you specified a Case Statement expression with an unconstrained array subtype. However, the expression's subtype must be a locally static subtype.

ACTION: Change the expression so its subtype is a locally static subtype.