ID:16760 VHDL warning at <location>: case choice should be a locally static expression

CAUSE: You used a case choice which is not a locally static expression.

ACTION: No action is required. To remove the warning, use a case choice which is a locally static expression.