ID:21751 VHDL warning at <location>: synthesis directive "<string>" is not supported

CAUSE: Use of VHDL_INPUT_VERSION or VHDL_LIBRARY synthesis directives are not supported. Design File.

ACTION: Use -HDL_VERSION argument to specify the HDL version for VHDL_FILE and library in the Quartus Prime Settings File (.qsf).