ID:13741 VHDL package error at <location>: package "<name>" is used but not declared

CAUSE: REDIRECT EVRFX_VHDL_NOT_AN_PACKAGE

ACTION: REDIRECT EVRFX_VHDL_NOT_AN_PACKAGE