ID:13682 VHDL Type Declaration error at <location>: constrained array type contains unconstrained element type, but must contain constrained element type

CAUSE: In a Type Declaration at the specified location in a VHDL Design File (.vhd), you used an unconstrained element type in a constrained array type. However, an element type in a constrained array type must be constrained.

ACTION: Change the element type so it is constrained, or change the array type so it is unconstrained.