ID:13698 VHDL Constant Declaration error at <location>: initial value for constant must be a constant

CAUSE: In a Constant Declaration at the specified location in a VHDL Design File (.vhd), you assigned an initial value to the constant you are declaring. However, the initial value is not a constant; for example, you may have used a variable as the initial value. The initial value for a constant you declare in a Constant Declaration must be a constant.

ACTION: Change the initial value so it is a constant, or, if you want the value of the declared constant to change, use a Variable Declaration to replace the declared constant with a variable..